Akash Kumar

Orcid: 0000-0001-7125-1737

Affiliations:
  • TU Dresden, Germany
  • National University of Singapore (former)


According to our database1, Akash Kumar authored at least 262 papers between 2002 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Introduction to the FPL 2021 Special Section.
ACM Trans. Reconfigurable Technol. Syst., March, 2024

Temporal Decisions: Leveraging Temporal Correlation for Efficient Decisions in Early Exit Neural Networks.
CoRR, 2024

Efficient Post-Training Augmentation for Adaptive Inference in Heterogeneous and Distributed IoT Environments.
CoRR, 2024

Utilizing Machine Learning Techniques for Worst-Case Execution Time Estimation on GPU Architectures.
IEEE Access, 2024

QuantMAC: Enhancing Hardware Performance in DNNs With Quantize Enabled Multiply-Accumulate Unit.
IEEE Access, 2024

2023
NvMISC: Toward an FPGA-Based Emulation Platform for RISC-V and Nonvolatile Memories.
IEEE Embed. Syst. Lett., December, 2023

High-Flexibility Designs of Quantized Runtime Reconfigurable Multi-Precision Multipliers.
IEEE Embed. Syst. Lett., December, 2023

<i>AxOTreeS</i>: A Tree Search Approach to Synthesizing FPGA-based Approximate Operators.
ACM Trans. Embed. Comput. Syst., October, 2023

Design of Energy-Efficient RFET-Based Exact and Approximate 4:2 Compressors and Multipliers.
IEEE Trans. Circuits Syst. II Express Briefs, September, 2023

SeqL+: Secure Scan-Obfuscation With Theoretical and Empirical Validation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2023

Utilizing XMG-Based Synthesis to Preserve Self-Duality for RFET-Based Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2023

RAPID: Approximate Pipelined Soft Multipliers and Dividers for High Throughput and Energy Efficiency.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2023

ACM TECS Special Issue on Embedded System Security Tutorials.
ACM Trans. Embed. Comput. Syst., 2023

MTTR reduction of FPGA scrubbing: Exploring SEU sensitivity.
Microprocess. Microsystems, 2023

AxOMaP: Designing FPGA-based Approximate Arithmetic Operators using Mathematical Programming.
CoRR, 2023

AxOCS: Scaling FPGA-based Approximate Operators using Configuration Supersampling.
CoRR, 2023

Temporal Patience: Efficient Adaptive Deep Learning for Embedded Radar Data Processing.
CoRR, 2023

Parallel FPGA Routers With Lagrange Relaxation.
IEEE Access, 2023

Formal Analysis of Camouflaged Reconfigurable Circuits.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

Reconfigurable FET Approximate Computing-based Accelerator for Deep Learning Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

NetPU-M: a Generic Reconfigurable Neural Network Accelerator Architecture for MLPs.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2023

CoOAx: Correlation-aware Synthesis of FPGA-based Approximate Operators.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

A Study of Early Aggregation in Database Query Processing on FPGAs.
Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2023

High-Throughput Approximate Multiplication Models in PyTorch.
Proceedings of the 26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2023

Design Enablement Flow for Circuits with Inherent Obfuscation based on Reconfigurable Transistors.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Learning-Oriented Reliability Improvement of Computing Systems From Transistor to Application Level.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Motivating Agent-Based Learning for Bounding Time in Mixed-Criticality Systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

KeRRaS: Sort-Based Database Query Processing on Wide Tables Using FPGAs.
Proceedings of the 19th International Workshop on Data Management on New Hardware, 2023

ADAPTIVE: Agent-Based Learning for Bounding Time in Mixed-Criticality Systems.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Discerning Limitations of GNN-based Attacks on Logic Locking.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Special Session: Mitigating Side-Channel Attacks Through Circuit to Application Layer Approaches.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2023

SyFAxO-GeN: Synthesizing FPGA-Based Approximate Operators with Generative Networks.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
Plasticine: A Cross-layer Approximation Methodology for Multi-kernel Applications through Minimally Biased, High-throughput, and Energy-efficient SIMD Soft Multiplier-divider.
ACM Trans. Design Autom. Electr. Syst., 2022

Security Promises and Vulnerabilities in Emerging Reconfigurable Nanotechnology-Based Circuits.
IEEE Trans. Emerg. Top. Comput., 2022

<i>AppAxO</i>: Designing Application-specific Approximate Operators for FPGA-based Embedded Systems.
ACM Trans. Embed. Comput. Syst., 2022

Blocks: Challenging SIMDs and VLIWs With a Reconfigurable Architecture.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

High-Performance Accurate and Approximate Multipliers for FPGA-Based Hardware Accelerators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

BOT-MICS: Bounding Time Using Analytics in Mixed-Criticality Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Toward the Design of Fault-Tolerance-Aware and Peak-Power-Aware Multicore Mixed-Criticality Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Peak-Power Aware Life-Time Reliability Improvement in Fault-Tolerant Mixed-Criticality Systems.
IEEE Open J. Circuits Syst., 2022

Increasing Throughput of In-Memory DNN Accelerators by Flexible Layerwise DNN Approximation.
IEEE Micro, 2022

Efficient Privacy-Aware Federated Learning by Elimination of Downstream Redundancy.
IEEE Des. Test, 2022

Learning-Oriented QoS- and Drop-Aware Task Scheduling for Mixed-Criticality Systems.
Comput., 2022

Reduced-Precision Acceleration of Radio-Astronomical Imaging on Reconfigurable Hardware.
IEEE Access, 2022

SCRAMBLE: A Secure and Configurable, Memristor-Based Neuromorphic Hardware Leveraging 3D Architecture.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

Combining Gradients and Probabilities for Heterogeneous Approximation of Neural Networks.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Securing Hardware through Reconfigurable Nano-Structures.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

ENTANGLE: An Enhanced Logic-locking Technique for Thwarting SAT and Structural Attacks.
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022

NetPU: Prototyping a Generic Reconfigurable Neural Network Accelerator Architecture.
Proceedings of the International Conference on Field-Programmable Technology, 2022

ERMES: Efficient Racetrack Memory Emulation System based on FPGA.
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022

FPGA-Based Database Query Processing on Arbitrarily Wide Tables.
Proceedings of the 30th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2022

PosAx-O: Exploring Operator-level Approximations for Posit Arithmetic in Embedded AI/ML.
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022

A Hybrid Scheduling Mechanism for Multi-programming in Mixed-Criticality Systems.
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022

Improving Technology Mapping for And-Inverter-Cones.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Exploring Standard-Cell Designs for Reconfigurable Nanotechnologies: A Formal Approach.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

DELTA: DEsigning a stealthy trigger mechanism for analog hardware trojans and its detection analysis.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Multi-Precision Deep Neural Network Acceleration on FPGAs.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

A Versatile Mapping Approach for Technology Mapping and Graph Optimization.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
CGRA-EAM - Rapid Energy and Area Estimation for Coarse-grained Reconfigurable Architectures.
ACM Trans. Reconfigurable Technol. Syst., 2021

Power-Aware Runtime Scheduler for Mixed-Criticality Systems on Multicore Platform.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

ReLAccS: A Multilevel Approach to Accelerator Design for Reinforcement Learning on FPGA-Based Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Area-Optimized Accurate and Approximate Softcore Signed Multiplier Architectures.
IEEE Trans. Computers, 2021

Correction to "RECON: Resource-Efficient CORDIC-Based Neuron Architecture".
IEEE Open J. Circuits Syst., 2021

RECON: Resource-Efficient CORDIC-Based Neuron Architecture.
IEEE Open J. Circuits Syst., 2021

Energy-Efficient Low-Latency Signed Multiplier for FPGA-Based Hardware Accelerators.
IEEE Embed. Syst. Lett., 2021

Toward the Design of Fault-Tolerance- and Peak- Power-Aware Multi-Core Mixed-Criticality Systems.
CoRR, 2021

Compiler Toolchains for Deep Learning Workloads on Embedded Platforms.
CoRR, 2021

A Survey of FPGA Logic Cell Designs in the Light of Emerging Technologies.
IEEE Access, 2021

ExPAN(N)D: Exploring Posits for Efficient Artificial Neural Network Design in FPGA-Based Systems.
IEEE Access, 2021

Using Monte Carlo Tree Search for EDA - A Case-study with Designing Cross-layer Reliability for Heterogeneous Embedded Systems.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

CLEO-CoDe: Exploiting Constrained Decoding for Cross-Layer Energy Optimization in Heterogeneous Embedded Systems.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

END-TRUE: Emerging Nanotechnology-Based Double-Throughput True Random Number Generator.
Proceedings of the VLSI-SoC: Technology Advancement on SoC Design, 2021

Metastability with Emerging Reconfigurable Transistors: Exploiting Ambipolarity for Throughput.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

Exploiting Resiliency for Kernel-Wise CNN Approximation Enabled by Adaptive Hardware Design.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

BioCare: An Energy-Efficient CGRA for Bio-Signal Processing at the Edge.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

dCSR: A Memory-Efficient Sparse Matrix Representation for Parallel Neural Network Inference.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

RL-Guided Runtime-Constrained Heuristic Exploration for Logic Synthesis.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Exploring Physical Synthesis for Circuits based on Emerging Reconfigurable Nanotechnologies.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

<i>MemOReL</i>: A Memory-oriented Optimization Approach to Reinforcement Learning on FPGA-based Embedded Systems.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

AMAH-Flex: A Modular and Highly Flexible Tool for Generating Relocatable Systems on FPGAs.
Proceedings of the International Conference on Field-Programmable Technology, 2021

NMPO: Near-Memory Computing Profiling and Offloading.
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021

Improving the Timing Behaviour of Mixed-Criticality Systems Using Chebyshev's Theorem.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Preserving Self-Duality During Logic Synthesis for Emerging Reconfigurable Nanotechnologies.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021


Perspectives on Emerging Computation-in-Memory Paradigms.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Vertical IP Protection of the Next-Generation Devices: Quo Vadis?
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021


Knowledge Distillation and Gradient Estimation for Active Error Compensation in Approximate Neural Networks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Resource-Efficient Database Query Processing on FPGAs.
Proceedings of the 17th International Workshop on Data Management on New Hardware, 2021

CLAppED: A Design Framework for Implementing Cross-Layer Approximation in FPGA-based Embedded Systems.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Emergent design challenges for embedded systems and paths forward: mixed-criticality, energy, reliability and security perspectives.
Proceedings of the CODES/ISSS 2021, 2021

Efficient Accuracy Recovery in Approximate Neural Networks by Systematic Error Modelling.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
Improving approximate neural networks for perception tasks through specialized optimization.
Future Gener. Comput. Syst., 2020

Power-Aware Run-Time Scheduler for Mixed-Criticality Systems on Multi-Core Platform.
CoRR, 2020

ParaLarH: Parallel FPGA Router based upon Lagrange Heuristics.
CoRR, 2020

FANTOM: Fault Tolerant Task-Drop Aware Scheduling for Mixed-Criticality Systems.
IEEE Access, 2020

Quantitative Characterization of Reconfigurable Transistor Logic Gates.
IEEE Access, 2020

ALigN: A Highly Accurate Adaptive Layerwise Log_2_Lead Quantization of Pre-Trained Neural Networks.
IEEE Access, 2020

Near Memory Acceleration on High Resolution Radio Astronomy Imaging.
Proceedings of the 9th Mediterranean Conference on Embedded Computing, 2020

A CORDIC Based Configurable Activation Function for ANN Applications.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

SeqL: Secure Scan-Locking for IP Protection.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

Full Approximation of Deep Neural Networks through Efficient Optimization.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

An Approximate Binary Classifier for Data Integrity Assessment in IoT Sensors.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

SIMDive: Approximate SIMD Soft Multiplier-Divider for FPGAs with Tunable Accuracy.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Maximizing the Serviceability of Partially Reconfigurable FPGA Systems in Multi-tenant Environment.
Proceedings of the FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020

Introducing FPGA-based Machine Learning on the Edge to Undergraduate Students.
Proceedings of the IEEE Frontiers in Education Conference, 2020

Markov Chain-based Modeling and Analysis of Checkpointing with Rollback Recovery for Efficient DSE in Soft Real-time Systems.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2020

L2L: A Highly Accurate Log_2_Lead Quantization of Pre-trained Neural Networks.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

DiSCERN: Distilling Standard-Cells for Emerging Reconfigurable Nanotechnologies.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

ProxSim: GPU-based Simulation Framework for Cross-Layer Approximate DNN Optimization.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

CL(R)Early: An Early-stage DSE Methodology for Cross-Layer Reliability-aware Heterogeneous Embedded Systems.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

LeAp: Leading-one Detection-based Softcore Approximate Multipliers with Tunable Accuracy.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Designing Efficient Circuits Based on Runtime-Reconfigurable Field-Effect Transistors.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Architecture and Advanced Electronics Pathways Toward Highly Adaptive Energy- Efficient Computing.
Proc. IEEE, 2019

Multi-objective design space exploration for system partitioning of FPGA-based Dynamic Partially Reconfigurable Systems.
Integr., 2019

Shouji: a fast and efficient pre-alignment filter for sequence alignment.
Bioinform., 2019

Hardware Watermarking Using Polymorphic Inverter Designs Based On Reconfigurable Nanotechnologies.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Design Methodology for Embedded Approximate Artificial Neural Networks.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Performance Evaluation of Thermal-Constrained Scheduling Strategies in Multi-core Systems.
Proceedings of the Computer Performance Engineering - 16th European Workshop, 2019

Exploiting Emerging Reconfigurable Technologies for Secure Devices.
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019

Online Peak Power and Maximum Temperature Management in Multi-core Mixed-Criticality Embedded Systems.
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019

High-Throughput BitPacking Compression.
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019

Scatter Scrubbing: A Method to Reduce SEU Repair Time in FPGA Configuration Memory.
Proceedings of the 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2019

A Hybrid Agent-based Design Methodology for Dynamic Cross-layer Reliability in Heterogeneous Embedded Systems.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
A Hardware/Software Stack for Heterogeneous Systems.
IEEE Trans. Multi Scale Comput. Syst., 2018

SLIDER: Fast and Efficient Computation of Banded Sequence Alignment.
CoRR, 2018

Parallel FPGA Router using Sub-Gradient method and Steiner tree.
CoRR, 2018

CLRFrame: An Analysis Framework for Designing Cross-Layer Reliability in Embedded Systems.
Proceedings of the 31st International Conference on VLSI Design and 17th International Conference on Embedded Systems, 2018

Column Scan Acceleration in Hybrid CPU-FPGA Systems.
Proceedings of the International Workshop on Accelerating Analytics and Data Management Systems Using Modern Processor and Storage Architectures, 2018

Adaptive Learning and Analytics in Engineering Education.
Proceedings of the IEEE International Conference on Teaching, 2018

A Self-Reconfiguring Cache Architecture to Improve Control Quality in Cyber-Physical Systems.
Proceedings of the 21st IEEE International Symposium on Real-Time Distributed Computing, 2018

Emerging reconfigurable nanotechnologies: can they support future electronics?
Proceedings of the International Conference on Computer-Aided Design, 2018

Protecting Communication in Many-Core Systems against Active Attackers.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

Dataflow-Based Mapping of Spiking Neural Networks on Neuromorphic Hardware.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

QoS-Aware Cross-Layer Reliability-Integrated FPGA-Based Dynamic Partially Reconfigurable System Partitioning.
Proceedings of the International Conference on Field-Programmable Technology, 2018

ParaDRo: A Parallel Deterministic Router Based on Spatial Partitioning and Scheduling.
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

Reloc - An Open-Source Vivado Workflow for Generating Relocatable End-User Configuration Tiles.
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018

A physical synthesis flow for early technology evaluation of silicon nanowire based reconfigurable FETs.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Technology mapping flow for emerging reconfigurable silicon nanowire transistors.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

DeMAS: An efficient design methodology for building approximate adders for FPGA-based systems.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Column Scan Optimization by Increasing Intra-Instruction Parallelism.
Proceedings of the 7th International Conference on Data Science, 2018

FPGA vs. SIMD: Comparison for Main Memory-Based Fast Column Scan.
Proceedings of the Data Management Technologies and Applications, 2018

Area-optimized low-latency approximate multipliers for FPGA-based hardware accelerators.
Proceedings of the 55th Annual Design Automation Conference, 2018

<i>SMApproxlib</i>: library of FPGA-based approximate multipliers.
Proceedings of the 55th Annual Design Automation Conference, 2018

Lifetime-aware design methodology for dynamic partially reconfigurable systems.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Scrubbing Mechanism for Heterogeneous Applications in Reconfigurable Devices.
ACM Trans. Design Autom. Electr. Syst., 2017

ParaDiMe: A Distributed Memory FPGA Router Based on Speculative Parallelism and Path Encoding.
Proceedings of the 25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2017

Exploiting transistor-level reconfiguration to optimize combinational circuits.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Embracing approximate computing for energy-efficient motion estimation in high efficiency video coding.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Accounting for systematic errors in approximate computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Soft error-aware architectural exploration for designing reliability adaptive cache hierarchies in multi-cores.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Locality-Aware CTA Clustering for Modern GPUs.
Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, 2017

2016
Analysis and Mapping for Thermal and Energy Efficiency of 3-D Video Processing on 3-D Multicore Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Reliability and Energy-Aware Mapping and Scheduling of Multimedia Applications on Multiprocessor Systems.
IEEE Trans. Parallel Distributed Syst., 2016

Resource and Throughput Aware Execution Trace Analysis for Efficient Run-Time Mapping on MPSoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Leakage aware resource management approach with machine learning optimization framework for partially reconfigurable architectures.
Microprocess. Microsystems, 2016

Machine Learning Approach to Generate Pareto Front for List-scheduling Algorithms.
Proceedings of the 19th International Workshop on Software and Compilers for Embedded Systems, 2016

Automatic framework to generate reconfigurable accelerators for option pricing applications.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2016

X: A Comprehensive Analytic Model for Parallel Machines.
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium, 2016

SFU-Driven Transparent Approximation Acceleration on GPUs.
Proceedings of the 2016 International Conference on Supercomputing, 2016

Architectural-space exploration of approximate multipliers.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

XNoC: A non-intrusive TDM circuit-switched Network-on-Chip.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

ParaFRo: A hybrid parallel FPGA router using fine grained synchronization and partitioning.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

PRFloor: An Automatic Floorplanner for Partially Reconfigurable FPGA Systems.
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016

Cross-layer fault-tolerant design of real-time systems.
Proceedings of the 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2016

A flexible inexact TMR technique for SRAM-based FPGAs.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Design and evaluation of reliability-oriented task re-mapping in MPSoCs using time-series analysis of intermittent faults.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Critical points based register-concurrency autotuning for GPUs.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
Autonomous Soft-Error Tolerance of FPGA Configuration Bits.
ACM Trans. Reconfigurable Technol. Syst., 2015

Execution Trace-Driven Energy-Reliability Optimization for Multimedia MPSoCs.
ACM Trans. Reconfigurable Technol. Syst., 2015

Nano-satellite swarm for SAR applications: design and robust scheduling.
IEEE Trans. Aerosp. Electron. Syst., 2015

Correlation ratio based volume image registration on GPUs.
Microprocess. Microsystems, 2015

Adaptive and transparent cache bypassing for GPUs.
Proceedings of the International Conference for High Performance Computing, 2015

Hardware task migration module for improved fault tolerance and predictability.
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015

Generic scrubbing-based architecture for custom error correction algorithms.
Proceedings of the 2015 International Symposium on Rapid System Prototyping, 2015

Fine-Grained Synchronizations and Dataflow Programming on GPUs.
Proceedings of the 29th ACM on International Conference on Supercomputing, 2015

Transit: A Visual Analytical Model for Multithreaded Machines.
Proceedings of the 24th International Symposium on High-Performance Parallel and Distributed Computing, 2015

An automated technique to generate relocatable partial bitstreams for Xilinx FPGAs.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

ParaLaR: A parallel FPGA router based on Lagrangian relaxation.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

Designing inexact systems efficiently using elimination heuristics.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Dynamic reconfigurable puncturing for secure wireless communication.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Exploiting loop-array dependencies to accelerate the design space exploration with high level synthesis.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

(AS)<sup>2</sup>: accelerator synthesis using algorithmic skeletons for rapid design space exploration.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Workload uncertainty characterization and adaptive frequency scaling for energy minimization of embedded systems.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Dynamically adaptive scrubbing mechanism for improved reliability in reconfigurable embedded systems.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Accelerating non-volatile/hybrid processor cache design space exploration for application specific embedded systems.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
Energy-aware task mapping and scheduling for reliable embedded computing systems.
ACM Trans. Embed. Comput. Syst., 2014

A Low Active Leakage and High Reliability Phase Change Memory (PCM) Based Non-Volatile FPGA Storage Element.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Communication and migration energy aware task mapping for reliable multiprocessor systems.
Future Gener. Comput. Syst., 2014

A multi-stage thermal management strategy for 3D multicores.
Proceedings of the 25nd IEEE International Symposium on Rapid System Prototyping, 2014

Lightweight Bare-Metal Stateful Firewall.
Proceedings of the 20th IEEE Pacific Rim International Symposium on Dependable Computing, 2014

A heterogeneous platform with GPU and FPGA for power efficient high performance computing.
Proceedings of the 2014 International Symposium on Integrated Circuits (ISIC), 2014

Design and robust scheduling of nano-satellite swarm for synthetic aperture radar applications.
Proceedings of the 13th International Conference on Control Automation Robotics & Vision, 2014

A multi-stage leakage aware resource management technique for reconfigurable architectures.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014

FPGA-based high throughput XTS-AES encryption/decryption for storage area network.
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014

Leakage and performance aware resource management for 2D dynamically reconfigurable FPGA architectures.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

Multi-directional error correction schemes for SRAM-based FPGAs.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

A bit-interleaved embedded hamming scheme to correct single-bit and multi-bit upsets for SRAM-based FPGAs.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

Criticality-aware scrubbing mechanism for SRAM-based FPGAs.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

PR-HMPSoC: A versatile partially reconfigurable heterogeneous Multiprocessor System-on-Chip for dynamic FPGA-based embedded systems.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

Design Space Exploration to Accelerate Nelder-Mead Algorithm Using FPGA.
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014

Accelerating Volume Image Registration through Correlation Ratio Based Methods on GPUs.
Proceedings of the 17th Euromicro Conference on Digital System Design, 2014

Artificial intelligence based task mapping and pipelined scheduling for checkpointing on real time systems with imperfect fault detection.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

Combined DVFS and mapping exploration for lifetime and soft-error susceptibility improvement in MPSoCs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Temperature aware energy-reliability trade-offs for mapping of throughput-constrained applications on multimedia MPSoCs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Reinforcement Learning-Based Inter- and Intra-Application Thermal Optimization for Lifetime Improvement of Multicore Systems.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
Project-Based Learning in Embedded Systems Education Using an FPGA Platform.
IEEE Trans. Educ., 2013

Computational analysis of xanthine dehydrogenase enzyme from different source organisms.
Netw. Model. Anal. Health Informatics Bioinform., 2013

CADSE: communication aware design space exploration for efficient run-time MPSoC management.
Frontiers Comput. Sci., 2013

MAMPSx: A design framework for rapid synthesis of predictable heterogeneous MPSoCs.
Proceedings of the 24th IEEE International Symposium on Rapid System Prototyping, 2013

Energy-aware dynamic reconfiguration of communication-centric applications for reliable MPSoCs.
Proceedings of the 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2013

Real-time and low power embedded ℓ1-optimization solver design.
Proceedings of the 2013 International Conference on Field-Programmable Technology, 2013

A directional coarse-grained power gated FPGA switch box and power gating aware routing algorithm.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

MAMPSX: A demonstration of rapid, predictable HMPSOC synthesis.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

Improving autonomous soft-error tolerance of FPGA through LUT configuration bit manipulation.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

High Speed Video Processing Using Fine-Grained Processing on FPGA Platform.
Proceedings of the 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2013

Thermal-aware mapping of streaming applications on 3D Multi-Processor Systems.
Proceedings of the 11th IEEE Symposium on Embedded Systems for Real-time Multimedia, 2013

RAPIDITAS: RAPId Design-Space-Exploration Incorporating Trace-Based Analysis and Simulation.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

Incorporating Energy and Throughput Awareness in Design Space Exploration and Run-Time Mapping for Heterogeneous MPSoCs.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

Run-time mapping for reliable many-cores based on energy/performance trade-offs.
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013

Communication and migration energy aware design space exploration for multicore systems with intermittent faults.
Proceedings of the Design, Automation and Test in Europe, 2013

Reliability-driven task mapping for lifetime extension of networks-on-chip based multiprocessor systems.
Proceedings of the Design, Automation and Test in Europe, 2013

Mapping on multi/many-core systems: survey of current and emerging trends.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systems.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Aging-aware hardware-software task partitioning for reliable reconfigurable multiprocessor systems.
Proceedings of the International Conference on Compilers, 2013

TRISHUL: A single-pass optimal two-level inclusive data cache hierarchy selection process for real-time MPSoCs.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Accelerating throughput-aware runtime mapping for heterogeneous MPSoCs.
ACM Trans. Design Autom. Electr. Syst., 2012

Review of polynomia and related realms by Dan Kalman.
SIGACT News, 2012

A design flow for partially reconfigurable heterogeneous multi-processor platforms.
Proceedings of the 23rd IEEE International Symposium on Rapid System Prototyping, 2012

Fault-aware task re-mapping for throughput constrained multimedia applications on NoC-based MPSoCs.
Proceedings of the 23rd IEEE International Symposium on Rapid System Prototyping, 2012

Fault-tolerant network interface for spatial division multiplexing based Network-on-Chip.
Proceedings of the 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2012

Energy-Aware Communication and Remapping of Tasks for Reliable Multimedia Multiprocessor Systems.
Proceedings of the 18th IEEE International Conference on Parallel and Distributed Systems, 2012

Development of an FPGA-based real-time P300 speller.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

An area-efficient partially reconfigurable crossbar switch with low reconfiguration delay.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

Acceleration of distance-to-default with hardware-software co-design.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

Minimizing Power Consumption of Spatial Division Based Networks-on-Chip Using Multi-path and Frequency Reduction.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

2011
Distributed resource management for concurrent execution of multimedia applications on MPSoC platforms.
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011

Communication-Aware Design Space Exploration for Efficient Run-Time MPSoC Management.
Proceedings of the Fourth International Symposium on Parallel Architectures, 2011

A Design Space Exploration Methodology for Application Specific MPSoC Design.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011

Bringing soccer to the field of real-time embedded systems education.
Proceedings of the 6th Workshop on Embedded Systems Education, 2011

An Automated Flow to Map Throughput Constrained Applications to a MPSoC.
Proceedings of the Bringing Theory to Practice: Predictability and Performance in Embedded Systems, 2011

An MPSoC design approach for multiple use-cases of throughput constrainted applications.
Proceedings of the 8th Conference on Computing Frontiers, 2011

A hybrid strategy for mapping multiple throughput-constrained applications on MPSoCs.
Proceedings of the 14th International Conference on Compilers, 2011

2010
Iterative Probabilistic Performance Prediction for Multi-Application Multiprocessor Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Run-time mapping of multiple communicating tasks on MPSoC platforms.
Proceedings of the International Conference on Computational Science, 2010

Communication-aware heuristics for run-time task mapping on NoC-based MPSoC platforms.
J. Syst. Archit., 2010

CA-MPSoC: An automated design flow for predictable multi-processor architectures for multiple applications.
J. Syst. Archit., 2010

An area-efficient dynamically reconfigurable Spatial Division Multiplexing network-on-chip with static throughput guarantee.
Proceedings of the International Conference on Field-Programmable Technology, 2010

Mapping real-life applications on run-time reconfigurable NoC-based MPSoC on FPGA.
Proceedings of the International Conference on Field-Programmable Technology, 2010

A predictable communication assist.
Proceedings of the 7th Conference on Computing Frontiers, 2010

2009
Performance evaluation of concurrently executing parallel applications on multi-processor systems.
Proceedings of the 2009 International Conference on Embedded Computer Systems: Architectures, 2009

2008
Multiprocessor systems synthesis for multiple use-cases of multiple applications on FPGA.
ACM Trans. Design Autom. Electr. Syst., 2008

Analyzing composability of applications on MPSoC platforms.
J. Syst. Archit., 2008

Enabling MPSoC Design Space Exploration on FPGAs.
Proceedings of the Wireless Networks, 2008

Vectorization of Reed Solomon Decoding and Mapping on the EVP.
Proceedings of the Design, Automation and Test in Europe, 2008

2007
Multi-processor System-level Synthesis for Multiple Applications on Platform FPGA.
Proceedings of the FPL 2007, 2007

Interactive presentation: An FPGA design flow for reconfigurable network-based multi-processor systems on chip.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

A Probabilistic Approach to Model Resource Contention for Performance Estimation of Multi-featured Media Devices.
Proceedings of the 44th Design Automation Conference, 2007

2006
Resource Manager for Non-preemptive Heterogeneous Multiprocessor System-on-chip.
Proceedings of the 2006 4th Workshop on Embedded Systems for Real-Time Multimedia, 2006

Global Analysis of Resource Arbitration for MPSoC.
Proceedings of the Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006), 30 August, 2006

2005
Efficient techniques for improved QoS performance in WDM optical burst switched networks.
Comput. Commun., 2005

2002
Membrane Systems and Distributed Computing.
Proceedings of the Membrane Computing, International Workshop, 2002


  Loading...