Amit Kumar Singh

Orcid: 0000-0003-2056-0569

Affiliations:
  • University of Essex, School of Computer Science and Electronic Engineering, Colchester, UK
  • University of Southampton, School of Electronics and Computer Science, UK
  • University of York, Department of Computer Science, UK
  • National University of Singapore, Department of Electrical and Computer Engineering
  • Nanyang Technological University, School of Computer Engineering, Singapore


According to our database1, Amit Kumar Singh authored at least 110 papers between 2009 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Fluid Dynamic DNNs for Reliable and Adaptive Distributed Inference on Edge Devices.
CoRR, 2024

A Multi-Modal Distributed Real-Time IoT System for Urban Traffic Control (Invited Paper).
Proceedings of the Fifth Workshop on Next Generation Real-Time Embedded Systems, 2024

2023
Maximising mobile user experience through self-adaptive content- and ambient-aware display brightness scaling.
J. Syst. Archit., December, 2023

Mobility-aware fog computing in dynamic networks with mobile nodes: A survey.
J. Netw. Comput. Appl., October, 2023

Detection of Thermal Covert Channel Attacks Based on Classification of Components of the Thermal Signal Features.
IEEE Trans. Computers, April, 2023

Modeling and Analysis of Thermal Covert Channel Attacks in Many-core Systems.
IEEE Trans. Computers, February, 2023

Content- and Lighting-Aware Adaptive Brightness Scaling for Improved Mobile User Experience.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
QUAREM: Maximising QoE Through Adaptive Resource Management in Mobile MPSoC Platforms.
ACM Trans. Embed. Comput. Syst., 2022

Secured Data Transmission Over Insecure Networks-on-Chip by Modulating Inter-Packet Delays.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Combating Stealthy Thermal Covert Channel Attack With Its Thermal Signal Transmitted in Direct Sequence Spread Spectrum.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Detection of and Countermeasure Against Thermal Covert Channel in Many-Core Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Performance Optimization of Many-Core Systems by Exploiting Task Migration and Dark Core Allocation.
IEEE Trans. Computers, 2022

CPU-GPU-Memory DVFS for Power-Efficient MPSoC in Mobile Cyber Physical Systems.
Future Internet, 2022

Design Challenges of Intrachiplet and Interchiplet Interconnection.
IEEE Des. Test, 2022

Fan Speed Control Based Defence for Thermal Covert Channel Attacks in Multi-Core Systems.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

On Evaluation of On-chip Thermal Covert Channel Attacks.
Proceedings of the International Conference on Compilers, 2022

2021
On Performance Optimization and Quality Control for Approximate-Communication-Enabled Networks-on-Chip.
IEEE Trans. Computers, 2021

Longevity Framework: Leveraging Online Integrated Aging-Aware Hierarchical Mapping and VF-Selection for Lifetime Reliability Optimization in Manycore Processors.
IEEE Trans. Computers, 2021

An enhanced planned obsolescence attack by aging networks-on-chip.
J. Syst. Archit., 2021

ThermalAttackNet: Are CNNs Making It Easy to Perform Temperature Side-Channel Attack in Mobile Edge Devices?
Future Internet, 2021

Evolution of Publications, Subjects, and Co-Authorships in Network-on-Chip Research From a Complex Network Perspective.
IEEE Access, 2021

Asynchronous Hybrid Deep Learning (AHDL): A Deep Learning Based Resource Mapping in DVFS Enabled Mobile MPSoCs.
Proceedings of the 7th IEEE World Forum on Internet of Things, 2021

A Methodology for Simulating Multi-chiplet Systems Using Open-source Simulators.
Proceedings of the NANOCOM '21: The Eighth Annual ACM International Conference on Nanoscale Computing and Communication, Virtual Event, Italy, September 7, 2021

Trends and Challenges in Ensuring Security for Low-Power and High-Performance Embedded SoCs.
Proceedings of the 14th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2021

I<sup>2</sup>UTS: An IoT based Intelligent Urban Traffic System.
Proceedings of the 22nd IEEE International Conference on High Performance Switching and Routing, 2021

Emergent design challenges for embedded systems and paths forward: mixed-criticality, energy, reliability and security perspectives.
Proceedings of the CODES/ISSS 2021, 2021

2020
Energy Minimization for Multicore Platforms Through DVFS and VR Phase Scaling With Comprehensive Convex Model.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Combating Enhanced Thermal Covert Channel in Multi-/Many-Core Systems With Channel-Aware Jamming.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

AdaMD: Adaptive Mapping and DVFS for Energy-Efficient Heterogeneous Multicores.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Collaborative Adaptation for Energy-Efficient Heterogeneous Mobile SoCs.
IEEE Trans. Computers, 2020

On hardware-trojan-assisted power budgeting system attack targeting many core systems.
J. Syst. Archit., 2020

Dynamic Energy and Thermal Management of Multi-core Mobile Platforms: A Survey.
IEEE Des. Test, 2020

DATE: Defense Against TEmperature Side-Channel Attacks in DVFS Enabled MPSoCs.
CoRR, 2020

Dynamic Allocation/Reallocation of Dark Cores in Many-Core Systems for Improved System Performance.
IEEE Access, 2020

IRON-MAN: An Approach to Perform Temporal Motionless Analysis of Video Using CNN in MPSoC.
IEEE Access, 2020

User Interaction Aware Reinforcement Learning for Power and Thermal Efficiency of CPU-GPU Mobile MPSoCs.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

On Countermeasures Against the Thermal Covert Channel Attacks Targeting Many-core Systems.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Temporal Motionless Analysis of Video using CNN in MPSoC.
Proceedings of the 31st IEEE International Conference on Application-specific Systems, 2020

2019
Predictive Thermal Management for Energy-Efficient Execution of Concurrent Applications on Heterogeneous Multicores.
IEEE Trans. Very Large Scale Integr. Syst., 2019

On Runtime Communication and Thermal-Aware Application Mapping and Defragmentation in 3D NoC Systems.
IEEE Trans. Parallel Distributed Syst., 2019

P-EdgeCoolingMode: an agent-based performance aware thermal management unit for DVFS enabled heterogeneous MPSoCs.
IET Comput. Digit. Tech., 2019

TMAV: Temporal Motionless Analysis of Video using CNN in MPSoC.
CoRR, 2019

SoCodeCNN: Program Source Code for Visual CNN Classification Using Computer Vision Methodology.
IEEE Access, 2019

EdgeCoolingMode: An Agent Based Thermal Management Mechanism for DVFS Enabled Heterogeneous MPSoCs.
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019

Towards Scalable Lifetime Reliability Management for Dark Silicon Manycore Systems.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

ACDC: An Accuracy- and Congestion-aware Dynamic Traffic Control Method for Networks-on-Chip.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

TEEM: Online Thermal- and Energy-Efficiency Management on CPU-GPU MPSoCs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

LifeGuard: A Reinforcement Learning-Based Task Mapping Strategy for Performance-Centric Aging Management.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

DeadPool: Performance Deadline Based Frequency Pooling and Thermal Management Agent in DVFS Enabled MPSoCs.
Proceedings of the 6th IEEE International Conference on Cyber Security and Cloud Computing, 2019

RewardProfiler: A Reward Based Design Space Profiler on DVFS Enabled MPSoCs.
Proceedings of the 6th IEEE International Conference on Cyber Security and Cloud Computing, 2019

2018
Inter-Cluster Thread-to-Core Mapping and DVFS on Heterogeneous Multi-Cores.
IEEE Trans. Multi Scale Comput. Syst., 2018

Bubble Budgeting: Throughput Optimization for Dynamic Workloads by Exploiting Dark Cores in Many Core Systems.
IEEE Trans. Computers, 2018

Effectiveness of HT-assisted sinkhole and blackhole denial of service attacks targeting mesh networks-on-chip.
J. Syst. Archit., 2018

Feedback-Based Admission Control for Firm Real-Time Task Allocation with Dynamic Voltage and Frequency Scaling.
Comput., 2018

On a New Hardware Trojan Attack on Power Budgeting of Many Core Systems.
Proceedings of the 31st IEEE International System-on-Chip Conference, 2018

Exploiting Dark Cores for Performance Optimization via Patterning for Many-core Chips in the Dark Silicon Era.
Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip, 2018

Online concurrent workload classification for multi-core energy management.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

HiMap: A hierarchical mapping approach for enhancing lifetime reliability of dark silicon manycore systems.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

LifeSim: A lifetime reliability simulator for manycore systems.
Proceedings of the IEEE 8th Annual Computing and Communication Workshop and Conference, 2018

MAT-CNN-SOPC: Motionless Analysis of Traffic Using Convolutional Neural Networks on System-On-a-Programmable-Chip.
Proceedings of the 2018 NASA/ESA Conference on Adaptive Hardware and Systems, 2018

2017
Energy-Efficient Run-Time Mapping and Thread Partitioning of Concurrent OpenCL Applications on CPU-GPU MPSoCs.
ACM Trans. Embed. Comput. Syst., 2017

Learning-Based Run-Time Power and Energy Management of Multi/Many-Core Systems: Current and Future Trends.
J. Low Power Electron., 2017

Multi-criteria resource allocation in modal hard real-time systems.
EURASIP J. Embed. Syst., 2017

A Survey and Comparative Study of Hard and Soft Real-Time Dynamic Resource Allocation Strategies for Multi-/Many-Core Systems.
ACM Comput. Surv., 2017

On Runtime Communication- and Thermal-aware Application Mapping in 3D NoC.
Proceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip, 2017

Reliable mapping and partitioning of performance-constrained openCL applications on CPU-GPU MPSoCs.
Proceedings of the 15th IEEE/ACM Symposium on Embedded Systems for Real-Time Multimedia, 2017

Two-stage thermal-aware scheduling of task graphs on 3D multi-cores exploiting application and architecture characteristics.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Analysis and Mapping for Thermal and Energy Efficiency of 3-D Video Processing on 3-D Multicore Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Defragmentation for Efficient Runtime Resource Management in NoC-Based Many-Core Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Resource and Throughput Aware Execution Trace Analysis for Efficient Run-Time Mapping on MPSoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Leakage aware resource management approach with machine learning optimization framework for partially reconfigurable architectures.
Microprocess. Microsystems, 2016

2nd International Workshop on Dynamic Resource Allocation and Management in Embedded, High Performance and Cloud Computing (DREAMCloud 2016).
CoRR, 2016

Benchmarking, System Design and Case-studies for Multi-core based Embedded Automotive Systems.
CoRR, 2016

Value and Energy Aware Adaptive Resource Allocation of Soft Real-Time Jobs on Many-Core HPC Data Centers.
Proceedings of the 19th IEEE International Symposium on Real-Time Distributed Computing, 2016

Energy-Aware Resource Allocation in Multi-mode Automotive Applications with Hard Real-Time Constraints.
Proceedings of the 19th IEEE International Symposium on Real-Time Distributed Computing, 2016

Feedback-Based Admission Control for Hard Real-Time Task Allocation Under Dynamic Workload on Many-Core Systems.
Proceedings of the Architecture of Computing Systems - ARCS 2016, 2016

Dynamic Resource Allocation in Embedded, High-Performance and Cloud Computing.
River Publishers Series in Information Science and Technology, River Publishers, ISBN: 978-8-7935-1908-4, 2016

2015
Execution Trace-Driven Energy-Reliability Optimization for Multimedia MPSoCs.
ACM Trans. Reconfigurable Technol. Syst., 2015

Hard real-time guarantee of automotive applications during mode changes.
Proceedings of the 23rd International Conference on Real Time Networks and Systems, 2015

DeFrag: Defragmentation for Efficient Runtime Resource Allocation in NoC-Based Many-core Systems.
Proceedings of the 23rd Euromicro International Conference on Parallel, 2015

Market-inspired dynamic resource allocation in many-core high performance computing systems.
Proceedings of the 2015 International Conference on High Performance Computing & Simulation, 2015

Exploiting loop-array dependencies to accelerate the design space exploration with high level synthesis.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Value and Energy Optimizing Dynamic Resource Allocation in Many-Core HPC Systems.
Proceedings of the 7th IEEE International Conference on Cloud Computing Technology and Science, 2015

2014
A multi-stage thermal management strategy for 3D multicores.
Proceedings of the 25nd IEEE International Symposium on Rapid System Prototyping, 2014

Thermal-aware task scheduling for peak temperature minimization under periodic constraint for 3D-MPSoCs.
Proceedings of the 25nd IEEE International Symposium on Rapid System Prototyping, 2014

A multi-stage leakage aware resource management technique for reconfigurable architectures.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014

Leakage and performance aware resource management for 2D dynamically reconfigurable FPGA architectures.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

Design Space Exploration to Accelerate Nelder-Mead Algorithm Using FPGA.
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014

2013
Run-time mapping techniques for NoC-based heterogeneous MPSoC platforms
PhD thesis, 2013

CADSE: communication aware design space exploration for efficient run-time MPSoC management.
Frontiers Comput. Sci., 2013

Energy-aware dynamic reconfiguration of communication-centric applications for reliable MPSoCs.
Proceedings of the 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2013

Thermal-aware mapping of streaming applications on 3D Multi-Processor Systems.
Proceedings of the 11th IEEE Symposium on Embedded Systems for Real-time Multimedia, 2013

RAPIDITAS: RAPId Design-Space-Exploration Incorporating Trace-Based Analysis and Simulation.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

Incorporating Energy and Throughput Awareness in Design Space Exploration and Run-Time Mapping for Heterogeneous MPSoCs.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

Mapping on multi/many-core systems: survey of current and emerging trends.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systems.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
Accelerating throughput-aware runtime mapping for heterogeneous MPSoCs.
ACM Trans. Design Autom. Electr. Syst., 2012

2011
Computation and communication aware run-time mapping for NoC-based MPSoC platforms.
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011

Communication-Aware Design Space Exploration for Efficient Run-Time MPSoC Management.
Proceedings of the Fourth International Symposium on Parallel Architectures, 2011

Run-Time Computation and Communication Aware Mapping Heuristic for NoC-Based Heterogeneous MPSoC Platforms.
Proceedings of the Fourth International Symposium on Parallel Architectures, 2011

A Design Space Exploration Methodology for Application Specific MPSoC Design.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011

Preprocessing-Based Run-Time Mapping of Applications on NoC-based MPSoCs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011

A hybrid strategy for mapping multiple throughput-constrained applications on MPSoCs.
Proceedings of the 14th International Conference on Compilers, 2011

2010
Run-time mapping of multiple communicating tasks on MPSoC platforms.
Proceedings of the International Conference on Computational Science, 2010

Communication-aware heuristics for run-time task mapping on NoC-based MPSoC platforms.
J. Syst. Archit., 2010

Mapping real-life applications on run-time reconfigurable NoC-based MPSoC on FPGA.
Proceedings of the International Conference on Field-Programmable Technology, 2010

Architecture-Aware Custom Instruction Generation for Reconfigurable Processors.
Proceedings of the Reconfigurable Computing: Architectures, 2010

2009
Efficient Heuristics for Minimizing Communication Overhead in NoC-based Heterogeneous MPSoC Platforms.
Proceedings of the Twentienth IEEE/IFIP International Symposium on Rapid System Prototyping, 2009

Rapid design exploration framework for application-aware customization of soft core processors.
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009

Mapping Algorithms for NoC-Based Heterogeneous MPSoC Platforms.
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009


  Loading...