Bei Yu

Orcid: 0000-0001-6406-4810

Affiliations:
  • Chinese University of Hong Kong, Department of Computer Science and Engineering, Hong Kong
  • University of Texas at Austin, Department of Electrical and Computer Engineering, TX, USA (PhD 2014)


According to our database1, Bei Yu authored at least 290 papers between 2009 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Small is Beautiful: Compressing Deep Neural Networks for Partial Domain Adaptation.
IEEE Trans. Neural Networks Learn. Syst., March, 2024

L2O-ILT: Learning to Optimize Inverse Lithography Techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2024

pNeurFill: Enhanced Neural Network Model-Based Dummy Filling Synthesis With Perimeter Adjustment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2024

GTCO: Graph and Tensor Co-Design for Transformer-Based Image Recognition on Tensor Cores.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2024

PFENet++: Boosting Few-Shot Semantic Segmentation With the Noise-Filtered Context-Aware Prior Mask.
IEEE Trans. Pattern Anal. Mach. Intell., February, 2024

BOOM-Explorer: RISC-V BOOM Microarchitecture Design Space Exploration.
ACM Trans. Design Autom. Electr. Syst., January, 2024

Fast and Accurate Aging-Aware Cell Timing Model via Graph Learning.
IEEE Trans. Circuits Syst. II Express Briefs, January, 2024

RL-OPC: Mask Optimization With Deep Reinforcement Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., January, 2024

ChatPattern: Layout Pattern Customization via Natural Language.
CoRR, 2024

HDLdebugger: Streamlining HDL debugging with Large Language Models.
CoRR, 2024

Analytical Heterogeneous Die-to-Die 3D Placement with Macros.
CoRR, 2024

Learning-driven Physically-aware Large-scale Circuit Gate Sizing.
CoRR, 2024

The Dawn of AI-Native EDA: Promises and Challenges of Large Circuit Models.
CoRR, 2024

Classes Are Not Equal: An Empirical Study on Image Recognition Fairness.
CoRR, 2024

SoLA: Solver-Layer Adaption of LLM for Better Logic Reasoning.
CoRR, 2024

BetterV: Controlled Verilog Generation with Discriminative Guidance.
CoRR, 2024

Parameter-Efficient Sparsity Crafting from Dense to Mixture-of-Experts for Instruction Tuning on General Tasks.
CoRR, 2024

Multi-Electrostatics Based Placement for Non-Integer Multiple-Height Cells.
Proceedings of the 2024 International Symposium on Physical Design, 2024

FuILT: Full Chip ILT System With Boundary Healing.
Proceedings of the 2024 International Symposium on Physical Design, 2024

IncreMacro: Incremental Macro Placement Refinement.
Proceedings of the 2024 International Symposium on Physical Design, 2024

Large Language Models for EDA: Future or Mirage?
Proceedings of the 2024 International Symposium on Physical Design, 2024

Routing-aware Legal Hybrid Bonding Terminal Assignment for 3D Face-to-Face Stacked ICs.
Proceedings of the 2024 International Symposium on Physical Design, 2024

Progressively Knowledge Distillation via Re-parameterizing Diffusion Reverse Process.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

p-Laplacian Adaptation for Generative Pre-trained Vision-Language Models.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

Towards Automated RISC-V Microarchitecture Design with Reinforcement Learning.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

2023
Aging-Aware Critical Path Selection via Graph Attention Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023

DevelSet: Deep Neural Level Set for Instant Mask Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023

A High-Performance Accelerator for Super-Resolution Processing on Embedded GPU.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2023

CTM-SRAF: Continuous Transmission Mask-Based Constraint-Aware Subresolution Assist Feature Generation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2023

DREAMPlace 4.0: Timing-Driven Placement With Momentum-Based Net Weighting and Lagrangian-Based Refinement.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2023

TRouter: Thermal-Driven PCB Routing via Nonlocal Crisscross Attention Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2023

Conditional Temporal Variational AutoEncoder for Action Video Prediction.
Int. J. Comput. Vis., October, 2023

DRC-SG 2.0: Efficient Design Rule Checking Script Generation via Key Information Extraction.
ACM Trans. Design Autom. Electr. Syst., September, 2023

Boosting VLSI Design Flow Parameter Tuning with Random Embedding and Multi-objective Trust-region Bayesian Optimization.
ACM Trans. Design Autom. Electr. Syst., September, 2023

FastGR: Global Routing on CPU-GPU With Heterogeneous Task Graph Scheduler.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., July, 2023

A Unified Framework for Layout Pattern Analysis With Deep Causal Estimation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2023

DSGN++: Exploiting Visual-Spatial Relation for Stereo-Based 3D Detectors.
IEEE Trans. Pattern Anal. Mach. Intell., April, 2023

A GPU-Enabled Level-Set Method for Mask Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2023

Guest Editors' Introduction: Special Issue on Machine Learning for CAD/EDA.
IEEE Des. Test, February, 2023

Machine Learning in Advanced IC Design: A Methodological Survey.
IEEE Des. Test, February, 2023

McPAT-Calib: A RISC-V BOOM Microarchitecture Power Modeling Framework.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023

Efficient Arithmetic Block Identification With Graph Learning and Network-Flow.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023

PTPT: Physical Design Tool Parameter Tuning via Multi-Objective Bayesian Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023

Reliability-Driven Memristive Crossbar Design in Neuromorphic Computing Systems.
IEEE Trans Autom. Sci. Eng., 2023

Adaptive Perspective Distillation for Semantic Segmentation.
IEEE Trans. Pattern Anal. Mach. Intell., 2023

SoC-Tuner: An Importance-guided Exploration Framework for DNN-targeting SoC Design.
CoRR, 2023

TinyFormer: Efficient Transformer Design and Deployment on Tiny Devices.
CoRR, 2023

On the Evaluation of Generative Models in Distributed Learning Tasks.
CoRR, 2023

Analytical Die-to-Die 3D Placement with Bistratal Wirelength Model and GPU Acceleration.
CoRR, 2023

Floorplet: Performance-aware Floorplan Framework for Chiplet Integration.
CoRR, 2023

Do Not Train It: A Linear Neural Architecture Search of Graph Neural Networks.
CoRR, 2023

Decoupled Kullback-Leibler Divergence Loss.
CoRR, 2023

Towards Versatile and Efficient Visual Knowledge Injection into Pre-trained Language Models with Cross-Modal Adapters.
CoRR, 2023

GPU-accelerated Matrix Cover Algorithm for Multiple Patterning Layout Decomposition.
CoRR, 2023

LithoBench: Benchmarking AI Computational Lithography for Semiconductor Manufacturing.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

Machine Learning in EDA: When and How.
Proceedings of the 5th ACM/IEEE Workshop on Machine Learning for CAD, 2023

ChatEDA: A Large Language Model Powered Autonomous Agent for EDA.
Proceedings of the 5th ACM/IEEE Workshop on Machine Learning for CAD, 2023

ArchExplorer: Microarchitecture Exploration Via Bottleneck Analysis.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

FastGR: Global Routing on CPU-GPU with Heterogeneous Task Graph Scheduler (Extended Abstract).
Proceedings of the Thirty-Second International Joint Conference on Artificial Intelligence, 2023

SNICIT: Accelerating Sparse Neural Network Inference via Compression at Inference Time on GPU.
Proceedings of the 52nd International Conference on Parallel Processing, 2023

Do Not Train It: A Linear Neural Architecture Search of Graph Neural Networks.
Proceedings of the International Conference on Machine Learning, 2023

Efficient Deep Space Filling Curve.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

Multi-Product Optimization for 3D Heterogeneous Integration with D2W Bonding.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Lay-Net: Grafting Netlist Knowledge on Layout-Based Congestion Prediction.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

IT-DSE: Invariance Risk Minimized Transfer Microarchitecture Design Space Exploration.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

AlphaSyn: Logic Synthesis Optimization with Efficient Monte Carlo Tree Search.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Handling Orientation and Aspect Ratio of Modules in Electrostatics-Based Large Scale Fixed-Outline Floorplanning.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Invited Paper: Heterogeneous Acceleration for Design Rule Checking.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

DLPlace: A Delay-Line Clocking-Based Placement Framework for AQFP Circuits.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Klotski: DNN Model Orchestration Framework for Dataflow Architecture Accelerators.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

ATFormer: A Learned Performance Model with Transfer Learning Across Devices for Deep Learning Tensor Programs.
Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, 2023

Efficient Design Rule Checking with GPU Acceleration.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Fast and Accurate Wire Timing Estimation Based on Graph Learning.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

BOMIG: A Majority Logic Synthesis Framework for AQFP Logic.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Mitigating Distribution Shift for Congestion Optimization in Global Placement.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

LRSDP: Low-Rank SDP for Triple Patterning Lithography Layout Decomposition.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

DiffPattern: Layout Pattern Generation via Discrete Diffusion.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Restructure-Tolerant Timing Prediction via Multimodal Fusion.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Efficient ILT via Multi-level Lithography Simulation.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Concurrent Sign-off Timing Optimization via Deep Steiner Points Refinement.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Layout Decomposition via Boolean Satisfiability.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

On a Moreau Envelope Wirelength Model for Analytical Global Placement.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

OpenDRC: An Efficient Open-Source Design Rule Checking Engine with Hierarchical GPU Acceleration.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Physics-Informed Optical Kernel Regression Using Complex-valued Neural Fields.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Microarchitecture Power Modeling via Artificial Neural Network and Transfer Learning.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

Graph-Learning-Driven Path-Based Timing Analysis Results Predictor from Graph-Based Timing Analysis.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

Mixed-Type Wafer Failure Pattern Recognition.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

OpenILT: An Open Source Inverse Lithography Technique Framework (Invited Paper).
Proceedings of the 15th IEEE International Conference on ASIC, 2023

AutoGraph: Optimizing DNN Computation Graph for Parallel GPU Kernel Execution.
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023

2022
Correlated Multi-objective Multi-fidelity Optimization for HLS Directives Design.
ACM Trans. Design Autom. Electr. Syst., 2022

Efficient Layout Hotspot Detection via Neural Architecture Search.
ACM Trans. Design Autom. Electr. Syst., 2022

An Efficient Sharing Grouped Convolution via Bayesian Learning.
IEEE Trans. Neural Networks Learn. Syst., 2022

Deep Learning-Driven Simultaneous Layout Decomposition and Mask Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Fortune: A New Fault-Tolerance TSV Configuration in Router-Based Redundancy Structure.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Analog Circuit Yield Optimization via Freeze-Thaw Bayesian Optimization Technique.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Counteracting Adversarial Attacks in Autonomous Driving.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

MLCAD: A Survey of Research in Machine Learning for CAD Keynote Paper.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Adaptive Layout Decomposition With Graph Embedding Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Pin-Accessible Legalization for Mixed-Cell-Height Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Neural-ILT 2.0: Migrating ILT to Domain-Specific and Multitask-Enabled Neural Network.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Hotspot Detection via Attention-Based Deep Layout Metric Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

High-Speed Adder Design Space Exploration via Graph Neural Processes.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Faster Region-Based Hotspot Detection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Deep H-GCN: Fast Analog IC Aging-Induced Degradation Estimation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

DAMO: Deep Agile Mask Optimization for Full-Chip Scale.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Enabling Data Movement and Computation Pipelining in Deep Learning Compiler.
CoRR, 2022

Generalized Parametric Contrastive Learning.
CoRR, 2022

Rethinking Graph Neural Networks for the Graph Coloring Problem.
CoRR, 2022

PVDD: A Practical Video Denoising Dataset with Real-World Dynamic Scenes.
CoRR, 2022

Efficient Design Rule Checking Script Generation via Key Information Extraction.
Proceedings of the 2022 ACM/IEEE Workshop on Machine Learning for CAD, 2022

Multi-Package Co-Design for Chiplet Integration.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

AdaOPC: A Self-Adaptive Mask Optimization Framework for Real Design Patterns.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

LayouTransformer: Generating Layout Patterns with Transformer via Sequential Pattern Modeling.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

X-Check: CPU-Accelerated Design Rule Checking via Parallel Sweepline Algorithms.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Efficient Point Cloud Analysis Using Hilbert Curve.
Proceedings of the Computer Vision - ECCV 2022, 2022

TRADER: A Practical Track-Assignment-Based Detailed Router.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Mixed-Cell-Height Legalization on CPU-GPU Heterogeneous Systems.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Efficient Hotspot Detection via Graph Neural Network.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

FastGR: Global Routing on CPU-GPU with Heterogeneous Task Graph Scheduler.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

DREAMPlace 4.0: Timing-driven Global Placement with Momentum-based Net Weighting.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Functionality matters in netlist representation learning.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

GTuner: tuning DNN computations on GPU via graph attention network.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Eventor: an efficient event-based monocular multi-view stereo accelerator on FPGA platform.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

PPATuner: pareto-driven tool parameter auto-tuning in physical design via gaussian process transfer learning.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

PCL: Proxy-based Contrastive Learning for Domain Generalization.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2022

Techniques for CAD Tool Parameter Auto-tuning in Physical Synthesis: A Survey (Invited Paper).
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

Context-Based Contrastive Learning for Scene Text Recognition.
Proceedings of the Thirty-Sixth AAAI Conference on Artificial Intelligence, 2022

2021
Machine Learning for Electronic Design Automation: A Survey.
ACM Trans. Design Autom. Electr. Syst., 2021

Bridging the Gap Between Layout Pattern Sampling and Hotspot Detection via Batch Active Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Deep Learning Analysis for Split-Manufactured Layouts With Routing Perturbation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

OpenMPL: An Open-Source Layout Decomposer.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Efficient Layout Hotspot Detection via Binarized Residual Neural Network Ensemble.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Leveraging Spatial Correlation for Sensor Drift Calibration in Smart Building.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

DAC-SDC Low Power Object Detection Challenge for UAV Applications.
IEEE Trans. Pattern Anal. Mach. Intell., 2021

VLSI mask optimization: From shallow to deep learning.
Integr., 2021

Routing Towards Discriminative Power of Class Capsules.
CoRR, 2021

Learning Point Clouds in EDA.
Proceedings of the ISPD '21: International Symposium on Physical Design, 2021

Seeing Dynamic Scene in the Dark: A High-Quality Video Dataset with Mechatronic Alignment.
Proceedings of the 2021 IEEE/CVF International Conference on Computer Vision, 2021

Fast and Efficient DNN Deployment via Deep Gaussian Transfer Learning.
Proceedings of the 2021 IEEE/CVF International Conference on Computer Vision, 2021

Parametric Contrastive Learning.
Proceedings of the 2021 IEEE/CVF International Conference on Computer Vision, 2021

Hotspot Detection via Multi-task Learning and Transformer Encoder.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

A High-Performance Accelerator for Super-Resolution Processing on Embedded GPU.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

McPAT-Calib: A Microarchitecture Power Modeling Framework for Modern CPUs.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Graph Learning-Based Arithmetic Block Identification.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

When Wafer Failure Pattern Classification Meets Few-shot Learning and Self-Supervised Learning.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

AutoGTCO: Graph and Tensor Co-Optimize for Image Recognition with Transformers on GPU.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

BOOM-Explorer: RISC-V BOOM Microarchitecture Design Space Exploration Framework.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Correlated Multi-objective Multi-fidelity Optimization for HLS Directives Design.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Deep Neural Network Hardware Deployment Optimization via Advanced Active Learning.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Global Placement with Deep Learning-Enabled Explicit Routability Optimization.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Towards AQFP-Capable Physical Design Automation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Low-Cost Lithography Hotspot Detection with Active Entropy Sampling and Model Calibration.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

NeurFill: Migrating Full-Chip CMP Simulators to Neural Networks for Model-Based Dummy Filling Synthesis.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Attacking a CNN-based Layout Hotspot Detector Using Group Gradient Method.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

TreeNet: Deep Point Cloud Embedding for Routing Tree Construction.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Physical Synthesis for Advanced Neural Network Processors.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Analog IC Aging-induced Degradation Estimation via Heterogeneous Graph Convolutional Networks.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Machine Learning in Nanometer AMS Design-for-Reliability : (Invited Paper).
Proceedings of the 14th IEEE International Conference on ASIC, 2021

2020
Memristive Crossbar Mapping for Neuromorphic Computing Systems on 3D IC.
ACM Trans. Design Autom. Electr. Syst., 2020

Adversarial Perturbation Attacks on ML-based CAD: A Case Study on CNN-based Lithographic Hotspot Detection.
ACM Trans. Design Autom. Electr. Syst., 2020

TimingCamouflage+: Netlist Security Enhancement With Unconventional Timing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

GAN-OPC: Mask Optimization With Lithography-Guided Generative Adversarial Nets.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

A Unified Framework for Simultaneous Layout Decomposition and Mask Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

SRAF Insertion via Supervised Dictionary Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Fault tolerance in memristive crossbar-based neuromorphic computing systems.
Integr., 2020

DSA guiding template assignment with multiple redundant via and dummy via insertion.
Integr., 2020

TimingCamouflage+: Netlist Security Enhancement with Unconventional Timing (with Appendix).
CoRR, 2020

Understanding Graphs in EDA: From Shallow to Deep Learning.
Proceedings of the ISPD 2020: International Symposium on Physical Design, Taipei, Taiwan, March 29, 2020

Reliability-Driven Neural Network Training for Memristive Crossbar-Based Neuromorphic Computing Systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

DeepBillboard: systematic physical-world testing of autonomous driving systems.
Proceedings of the ICSE '20: 42nd International Conference on Software Engineering, Seoul, South Korea, 27 June, 2020

Learn to Floorplan through Acquisition of Effective Local Search Heuristics.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

Neural-ILT: Migrating ILT to Neural Networks for Mask Printability and Complexity Co-optimization.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Hotspot Detection via Attention-based Deep Layout Metric Learning.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

DAMO: Deep Agile Mask Optimization for Full Chip Scale.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Tensor Low-Rank Reconstruction for Semantic Segmentation.
Proceedings of the Computer Vision - ECCV 2020, 2020

Dive Deeper into Box for Object Detection.
Proceedings of the Computer Vision - ECCV 2020, 2020

Adaptive Layout Decomposition with Graph Embedding Neural Networks.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Layout Hotspot Detection With Feature Tensor Generation and Deep Biased Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Cross-Layer Optimization for High Speed Adders: A Pareto Driven Machine Learning Approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Synergistic Topology Generation and Route Synthesis for On-Chip Performance-Critical Signal Groups.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

A Practical Split Manufacturing Framework for Trojan Prevention via Simultaneous Wire Lifting and Cell Insertion.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Provably Secure Camouflaging Strategy for IC Protection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Adaptive 3D-IC TSV Fault Tolerance Structure Generation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Recent advances in convolutional neural network acceleration.
Neurocomputing, 2019

CAD Tool Design Space Exploration via Bayesian Optimization.
CoRR, 2019

Automatic Layout Generation with Applications in Machine Learning Engine Evaluation.
CoRR, 2019

Are Adversarial Perturbations a Showstopper for ML-Based CAD? A Case Study on CNN-Based Lithographic Hotspot Detection.
CoRR, 2019

A Unified Approximation Framework for Compressing and Accelerating Deep Neural Networks.
Proceedings of the 31st IEEE International Conference on Tools with Artificial Intelligence, 2019

Power-Driven DNN Dataflow Optimization on FPGA.
Proceedings of the International Conference on Computer-Aided Design, 2019

DeePattern: Layout Pattern Generation with Transforming Convolutional Auto-Encoder.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

High Performance Graph Convolutional Networks with Applications in Testability Analysis.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Attacking Split Manufacturing from a Deep Learning Perspective.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

FIT: Fill Insertion Considering Timing.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Efficient Layout Hotspot Detection via Binarized Residual Neural Network.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Faster Region-based Hotspot Detection.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Sensor Drift Calibration via Spatial Correlation Model in Smart Building.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Hardware-software co-design of slimmed optical neural networks.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

Detecting multi-layer layout hotspots with adaptive squish patterns.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

A local optimal method on DSA guiding template assignment with redundant/dummy via insertion.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

SRAF insertion via supervised dictionary learning.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

OpenMPL: An Open Source Layout Decomposer: Invited Paper.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

2018
Graph-Based Redundant Via Insertion and Guiding Template Assignment for DSA-MP.
IEEE Trans. Very Large Scale Integr. Syst., 2018

IEEE Transactions on Sustainable Computing: Guest Editorial on Special Issue on Sustainable Cyber-Physical Systems.
IEEE Trans. Sustain. Comput., 2018

SD-PUF: Spliced Digital Physical Unclonable Function.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

TILA-S: Timing-Driven Incremental Layer Assignment Avoiding Slew Violations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

MrDP: Multiple-Row Detailed Placement of Heterogeneous-Sized Cells for Advanced Nodes.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Layout Synthesis for Topological Quantum Circuits With 1-D and 2-D Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

CRMA: Incorporating Cut Redistribution With Mask Assignment to Enable the Fabrication of 1-D Gridded Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

A Multicommodity Flow-Based Detailed Router With Efficient Acceleration Techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

RippleFPGA: Routability-Driven Simultaneous Packing and Placement for Modern FPGAs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

DeepBillboard: Systematic Physical-World Testing of Autonomous Driving Systems.
CoRR, 2018

OpenMPL: An Open Source Layout Decomposer.
CoRR, 2018

A Unified Approximation Framework for Deep Neural Networks.
CoRR, 2018

Sparse VLSI Layout Feature Extraction: A Dictionary Learning Approach.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Power Grid Reduction by Sparse Convex Optimization.
Proceedings of the 2018 International Symposium on Physical Design, 2018

Thermal-Aware Placement and Routing for 3D Optical Networks-on-Chips.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Memristive Crossbar Mapping for Neuromorphic Computing Systems on 3D IC.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

TimingCamouflage: Improving circuit security against counterfeiting by unconventional timing.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

GAN-OPC: mask optimization with lithography-guided generative adversarial nets.
Proceedings of the 55th Annual Design Automation Conference, 2018

Routability-driven and fence-aware legalization for mixed-cell-height circuits.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
Incremental Layer Assignment for Timing Optimization.
ACM Trans. Design Autom. Electr. Syst., 2017

Clustered Fault Tolerance TSV Planning for 3-D Integrated Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Incremental Layer Assignment Driven by an External Signoff Timing Engine.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Triple Patterning Aware Detailed Placement Toward Zero Cross-Row Middle-of-Line Conflict.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

High Performance Dummy Fill Insertion With Coupling and Uniformity Constraints.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Special Issue on Scalable Cyber-Physical Systems.
J. Parallel Distributed Comput., 2017

Stitch aware detailed placement for multiple E-beam lithography.
Integr., 2017

Smart building uncertainty analysis via adaptive Lasso.
IET Cyper-Phys. Syst.: Theory & Appl., 2017

Methodologies for layout decomposition and mask optimization: A systematic review.
Proceedings of the 2017 IFIP/IEEE International Conference on Very Large Scale Integration, 2017

Accelerating chip design with machine learning: From pre-silicon to post-silicon.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

F1B: Algorithms, models and simulation for systems.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

Lithography hotspot detection: From shallow to deep learning.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

Bilinear Lithography Hotspot Detection.
Proceedings of the 2017 ACM on International Symposium on Physical Design, 2017

DSAR: DSA aware Routing with Simultaneous DSA Guiding Pattern and Double Patterning Assignment.
Proceedings of the 2017 ACM on International Symposium on Physical Design, 2017

A learning bridge from architectural synthesis to physical design for exploring power efficient high-performance adders.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

Clock-aware ultrascale FPGA placement with machine learning routability prediction: (Invited paper).
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

A unified framework for simultaneous layout decomposition and mask optimization.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

A utility-driven data transmission optimization strategy in large scale cyber-physical systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Layout Hotspot Detection with Feature Tensor Generation and Deep Biased Learning.
Proceedings of the 54th Annual Design Automation Conference, 2017

Minimizing Thermal Gradient and Pumping Power in 3D IC Liquid Cooling Network Design.
Proceedings of the 54th Annual Design Automation Conference, 2017

2016
Layout Decomposition for Triple Patterning.
Encyclopedia of Algorithms, 2016

EBL Overlapping Aware Stencil Planning for MCC System.
ACM Trans. Design Autom. Electr. Syst., 2016

PARR: Pin-Access Planning and Regular Routing for Self-Aligned Double Patterning.
ACM Trans. Design Autom. Electr. Syst., 2016

Design for manufacturability and reliability in extreme-scaling VLSI.
Sci. China Inf. Sci., 2016

Concurrent Guiding Template Assignment and Redundant via Insertion for DSA-MP Hybrid Lithography.
Proceedings of the 2016 on International Symposium on Physical Design, 2016

Enabling online learning in lithography hotspot detection with information-theoretic feature optimization.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

RippleFPGA: a routability-driven placement for large-scale heterogeneous FPGAs.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

LRR-DPUF: learning resilient and reliable digital physical unclonable function.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Incorporating cut redistribution with mask assignment to enable 1D gridded design.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

MCFRoute 2.0: A Redundant Via Insertion Enhanced Concurrent Detailed Router.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

Incremental layer assignment for critical path timing.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Laplacian eigenmaps and bayesian clustering based layout pattern sampling and its applications to hotspot detection and OPC.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

VLSI layout hotspot detection based on discriminative feature extraction.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016

2015
Layout Decomposition for Triple Patterning Lithography.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Methodology for Standard Cell Compliance and Detailed Placement for Triple Patterning Lithography.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Self-Aligned Double Patterning Aware Pin Access and Standard Cell Layout Co-Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

TILA: Timing-Driven Incremental Layer Assignment.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Standard Cell Layout Regularity and Pin Access Optimization Considering Middle-of-Line.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

Directed Self-Assembly Based Cut Mask Optimization for Unidirectional Design.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

Pushing multiple patterning in sub-10nm: are we ready?
Proceedings of the 52nd Annual Design Automation Conference, 2015

Machine learning and pattern matching in physical design.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

Electromigration-aware redundant via insertion.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
Triple Patterning Lithography (TPL) Layout Decomposition using End-Cutting (JM3 Special Session).
CoRR, 2014

Triple Patterning Lithography (TPL) Layout Decomposition using End-Cutting.
CoRR, 2014

Multi-Voltage and Level-Shifter Assignment Driven Floorplanning.
CoRR, 2014

Lithography Hotspot Detection and Mitigation in Nanometer VLSI.
CoRR, 2014

Self-Aligned Double Patterning Friendly Configuration for Standard Cell Library Considering Placement.
CoRR, 2014

Layout Decomposition for Quadruple Patterning Lithography and Beyond.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

MOSAIC: Mask Optimizing Solution With Process Window Aware Inverse Correction.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Self-aligned double patterning layout decomposition with complementary e-beam lithography.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Design for Manufacturing With Emerging Nanolithography.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Methodology for standard cell compliance and detailed placement for triple patterning lithography.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

A high-performance triple patterning layout decomposer with balanced density.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

E-BLOW: e-beam lithography overlapping aware stencil planning for MCC system.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

L-shape based layout fracturing for e-beam lithography.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

Lithography hotspot detection and mitigation in nanometer VLSI.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

2012
E-Beam Lithography Stencil Planning and Optimization With Overlapped Characters.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Cluster Generation and Network Component Insertion for Topology Synthesis of Application-Specific Network-on-Chips.
IEICE Trans. Electron., 2012

VLSI CAD for emerging nanolithography.
Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, 2012

Dealing with IC manufacturability in extreme scaling (Embedded tutorial paper).
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

TRIAD: A triple patterning lithography aware detailed router.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

GLOW: A global router for low-power thermal-reliable interconnect synthesis using photonic wavelength multiplexing.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

EPIC: Efficient prediction of IC manufacturing hotspots with a unified meta-classification formulation.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
Application-specific Network-on-Chip synthesis: Cluster generation and network component insertion.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

Layout decomposition for triple patterning lithography.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Network flow-based simultaneous retiming and slack budgeting for low power design.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
A revisit to voltage partitioning problem.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

Floorplanning and topology generation for application-specific network-on-chip.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
Voltage and Level-Shifter Assignment Driven Floorplanning.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Voltage-island driven floorplanning considering level-shifter positions.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009


  Loading...