% csauthors.net - beta - BibTeX bibliography of Charlie Chung-Ping Chen
@inproceedings{conf/dac/ChenCW96,
title = {Fast Performance-Driven Optimization for Buffered Clock Trees Based on Lagrangian Relaxation.},
year = {1996},
booktitle = {DAC},
author = {{Chung-Ping Chen} and {Yao-Wen Chang} and {D. F. Wong 001}},
publisher = {ACM Press},
booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996.}
}
@inproceedings{conf/dac/ChenCW96a,
title = {Optimal Wire-Sizing Formular Under the Elmore Delay Model.},
year = {1996},
booktitle = {DAC},
author = {{Chung-Ping Chen} and {Yao-Ping Chen} and {D. F. Wong 001}},
publisher = {ACM Press},
booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996.}
}
@inproceedings{conf/iccad/ChenZW96,
title = {Optimal non-uniform wire-sizing under the Elmore delay model.},
year = {1996},
booktitle = {ICCAD},
author = {{Chung-Ping Chen} and {Hai Zhou 001} and {D. F. Wong 001}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1996, San Jose, CA, USA, November 10-14, 1996}
}
@inproceedings{conf/dac/ChenW97,
title = {Optimal Wire-Sizing Function with Fringing Capacitance Consideration.},
year = {1997},
booktitle = {DAC},
author = {{Chung-Ping Chen} and {D. F. Wong 001}},
publisher = {ACM Press},
booktitle = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997.}
}
@inproceedings{conf/dac/ChenM99,
title = {Noise-Aware Repeater Insertion and Wire-Sizing for On-Chip Interconnect Using Hierarchical Moment-Matching.},
year = {1999},
booktitle = {DAC},
author = {{Chung-Ping Chen} and {Noel Menezes}},
publisher = {ACM Press},
booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999.}
}
@inproceedings{conf/dac/ChenW99,
title = {Error Bounded Padé Approximation via Bilinear Conformal Transformation.},
year = {1999},
booktitle = {DAC},
author = {{Chung-Ping Chen} and {D. F. Wong 001}},
publisher = {ACM Press},
booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999.}
}
@inproceedings{conf/vlsid/MenezesC99,
title = {Spec-Based Repeater Insertion and Wire Sizing for On-chip Interconnect.},
year = {1999},
booktitle = {VLSI Design},
author = {{Noel Menezes} and {Chung-Ping Chen}},
publisher = {IEEE Computer Society},
booktitle = {12th International Conference on VLSI Design (VLSI Design 1999), 10-13 January 1999, Goa, India}
}
@article{journals/tcad/ChenCW99,
title = {Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation.},
year = {1999},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Chung-Ping Chen} and {Chris C. N. Chu} and {Martin D. F. Wong}}
}
@inproceedings{conf/iccad/ChenLMH00,
title = {Generalized FDTD-ADI: An Unconditionally Stable Full-Wave Maxwell's Equations Solver for VLSI Interconnect Modeling.},
year = {2000},
booktitle = {ICCAD},
author = {{Charlie Chung-Ping Chen} and {Tae-Woo Lee} and {Narayanan Murugesan} and {Susan C. Hagness}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}
}
@inproceedings{conf/aspdac/LeeLC01,
title = {Optimal spacing and capacitance padding for general clock structures.},
year = {2001},
booktitle = {ASP-DAC},
author = {{Yu-Min Lee} and {Hing Yin Lai} and {Charlie Chung-Ping Chen}},
publisher = {ACM},
booktitle = {Proceedings of ASP-DAC 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}
}
@inproceedings{conf/dac/ChenC01,
title = {Efficient Large-Scale Power Grid Analysis Based on Preconditioned Krylov-Subspace Iterative Methods.},
year = {2001},
booktitle = {DAC},
author = {{Tsung-Hao Chen} and {Charlie Chung-Ping Chen}},
publisher = {ACM},
booktitle = {Proceedings of the 38th Design Automation Conference, DAC 2001, Las Vegas, NV, USA, June 18-22, 2001}
}
@inproceedings{conf/glvlsi/LeeC01,
title = {Hierarchical model order reduction for signal-integrity interconnect synthesis.},
year = {2001},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Yu-Min Lee} and {Charlie Chung-Ping Chen}},
publisher = {ACM},
booktitle = {Proceedings of the 11th ACM Great Lakes Symposium on VLSI 2001, West Lafayette, Indiana, USA, 2001}
}
@inproceedings{conf/iccd/BalakrishnanPKLC01,
title = {Linear Time Hierarchical Capacitance Extraction without Multipole Expansion.},
year = {2001},
booktitle = {ICCD},
author = {{Saisanthosh Balakrishnan} and {Jong Hyuk Park} and {Hyungsuk Kim} and {Yu-Min Lee} and {Charlie Chung-Ping Chen}},
publisher = {IEEE Computer Society},
booktitle = {19th International Conference on Computer Design (ICCD 2001), VLSI in Computers and Processors, 23-26 September 2001, Austin, TX, USA, Proceedings}
}
@inproceedings{conf/iccd/GaneshC01,
title = {RC-in RC-out Model Order Reduction Accurate up to Second Order Moments.},
year = {2001},
booktitle = {ICCD},
author = {{Pradeepsunder Ganesh} and {Charlie Chung-Ping Chen}},
publisher = {IEEE Computer Society},
booktitle = {19th International Conference on Computer Design (ICCD 2001), VLSI in Computers and Processors, 23-26 September 2001, Austin, TX, USA, Proceedings}
}
@inproceedings{conf/dac/CaoLCC02,
title = {HiPRIME: hierarchical and passivity reserved interconnect macromodeling engine for RLKC power delivery.},
year = {2002},
booktitle = {DAC},
author = {{Yahong Cao} and {Yu-Min Lee} and {Tsung-Hao Chen} and {Charlie Chung-Ping Chen}},
publisher = {ACM},
booktitle = {Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002}
}
@inproceedings{conf/iccad/ChenLKC02,
title = {INDUCTWISE: inductance-wise interconnect simulator and extractor.},
year = {2002},
booktitle = {ICCAD},
author = {{Tsung-Hao Chen} and {Clement Luk} and {Hyungsuk Kim} and {Charlie Chung-Ping Chen}},
publisher = {ACM / IEEE Computer Society},
booktitle = {Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002}
}
@inproceedings{conf/isqed/ChenC02,
title = {Future SoC Design Challenges and Solutions (invited).},
year = {2002},
booktitle = {ISQED},
author = {{Charlie Chung-Ping Chen} and {Ed Cheng}},
publisher = {IEEE Computer Society},
booktitle = {3rd International Symposium on Quality of Electronic Design, ISQED 2002, San Jose, CA, USA, March 18-21, 2002}
}
@inproceedings{conf/isqed/WangC02,
title = {Optimization of the Power/Ground Network Wire-Sizing and Spacing Based on Sequential Network Simplex Algorithm.},
year = {2002},
booktitle = {ISQED},
author = {{Ting-Yuan Wang} and {Charlie Chung-Ping Chen}},
publisher = {IEEE Computer Society},
booktitle = {3rd International Symposium on Quality of Electronic Design, ISQED 2002, San Jose, CA, USA, March 18-21, 2002}
}
@article{journals/tcad/LeeC02,
title = {Power grid transient simulation in linear time based on transmission-line-modeling alternating-direction-implicit method.},
year = {2002},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Yu-Min Lee} and {Charlie Chung-Ping Chen}}
}
@article{journals/tcad/WangC02,
title = {3-D Thermal-ADI: a linear-time chip level transient thermal simulator.},
year = {2002},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Ting-Yuan Wang} and {Charlie Chung-Ping Chen}}
}
@article{journals/vlsi/LeeCCW02,
title = {Simultaneous Buffer-sizing and Wire-sizing for Clock Trees Based on Lagrangian Relaxation.},
year = {2002},
journal = {VLSI Design},
author = {{Yu-Min Lee} and {Charlie Chung-Ping Chen} and {Yao-Wen Chang} and {Martin D. F. Wong}}
}
@inproceedings{conf/aspdac/LeeC03,
title = {A hierarchical analysis methodology for chip-level power delivery with realizable model reduction.},
year = {2003},
booktitle = {ASP-DAC},
author = {{Yu-Min Lee} and {Charlie Chung-Ping Chen}},
publisher = {ACM},
booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, ASP-DAC '03, Kitakyushu, Japan, January 21-24, 2003}
}
@inproceedings{conf/date/LeeC03,
title = {The Power Grid Transient Simulation in Linear Time Based on 3D Alternating-Direction-Implicit Method .},
year = {2003},
booktitle = {DATE},
author = {{Yu-Min Lee} and {Charlie Chung-Ping Chen}},
publisher = {IEEE Computer Society},
booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany}
}
@inproceedings{conf/iccad/ChenLC03,
title = {SuPREME: Substrate and Power-delivery Reluctance-Enhanced Macromodel Evaluation.},
year = {2003},
booktitle = {ICCAD},
author = {{Tsung-Hao Chen} and {Clement Luk} and {Charlie Chung-Ping Chen}},
publisher = {IEEE Computer Society / ACM},
booktitle = {2003 International Conference on Computer-Aided Design, ICCAD 2003, San Jose, CA, USA, November 9-13, 2003}
}
@inproceedings{conf/iscas/JiangCC03,
title = {PODEA: Power delivery efficient analysis with realizable model reduction.},
year = {2003},
booktitle = {ISCAS (4)},
author = {{Rong Jiang 002} and {Tsung-Hao Chen} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003}
}
@inproceedings{conf/ispd/TsaiCC03,
title = {Optimal minimum-delay/area zero-skew clock tree wire-sizing in pseudo-polynomial time.},
year = {2003},
booktitle = {ISPD},
author = {{Jeng-Liang Tsai} and {Tsung-Hao Chen} and {Charlie Chung-Ping Chen}},
publisher = {ACM},
booktitle = {Proceedings of the 2003 International Symposium on Physical Design, ISPD 2003, Monterey, CA, USA, April 6-9, 2003}
}
@inproceedings{conf/ispd/WangLC03,
title = {3D thermal-ADI: an efficient chip-level transient thermal simulator.},
year = {2003},
booktitle = {ISPD},
author = {{Ting-Yuan Wang} and {Yu-Min Lee} and {Charlie Chung-Ping Chen}},
publisher = {ACM},
booktitle = {Proceedings of the 2003 International Symposium on Physical Design, ISPD 2003, Monterey, CA, USA, April 6-9, 2003}
}
@article{journals/tcad/ChenLC03,
title = {INDUCTWISE: inductance-wise interconnect simulator and extractor.},
year = {2003},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Tsung-Hao Chen} and {Clement Luk} and {Charlie Chung-Ping Chen}}
}
@article{journals/tcad/LeeC03,
title = {The power grid transient simulation in linear time based on 3-D alternating-direction-implicit method.},
year = {2003},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Yu-Min Lee} and {Charlie Chung-Ping Chen}}
}
@article{journals/tvlsi/WangC03,
title = {Thermal-ADI - a linear-time chip-level dynamic thermal-simulation algorithm based on alternating-direction-implicit (ADI) method.},
year = {2003},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Ting-Yuan Wang} and {Charlie Chung-Ping Chen}}
}
@inproceedings{conf/aspdac/LukCC04,
title = {Frequency-dependent reluctance extraction.},
year = {2004},
booktitle = {ASP-DAC},
author = {{Clement Luk} and {Tsung-Hao Chen} and {Charlie Chung-Ping Chen}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}
}
@inproceedings{conf/cicc/JiangC04,
title = {EPEEC: a compact reluctance based interconnect model considering lossy substrate eddy currents.},
year = {2004},
booktitle = {CICC},
author = {{Rong Jiang 002} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE 2004 Custom Integrated Circuits Conference, CICC 2004, Orlando, FL, USA, October 2004}
}
@inproceedings{conf/dac/ZhangHC04,
title = {Statistical timing analysis in sequential circuit for on-chip global interconnect pipelining.},
year = {2004},
booktitle = {DAC},
author = {{Lizheng Zhang} and {Yuhen Hu} and {Charlie Chung-Ping Chen}},
publisher = {ACM},
booktitle = {Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004}
}
@inproceedings{conf/date/JiangC04,
title = {SCORE: SPICE COmpatible Reluctance Extraction.},
year = {2004},
booktitle = {DATE},
author = {{Rong Jiang 002} and {Charlie Chung-Ping Chen}},
publisher = {IEEE Computer Society},
booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France}
}
@inproceedings{conf/date/JiangC04a,
title = {Realizable Reduction for Electromagnetically Coupled RLMC Interconnects.},
year = {2004},
booktitle = {DATE},
author = {{Rong Jiang 002} and {Charlie Chung-Ping Chen}},
publisher = {IEEE Computer Society},
booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France}
}
@inproceedings{conf/date/WangTC04,
title = {Thermal and Power Integrity Based Power/Ground Networks Optimization.},
year = {2004},
booktitle = {DATE},
author = {{Ting-Yuan Wang} and {Jeng-Liang Tsai} and {Charlie Chung-Ping Chen}},
publisher = {IEEE Computer Society},
booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France}
}
@inproceedings{conf/iccad/TsaiBCS04,
title = {A yield improvement methodology using pre- and post-silicon statistical clock scheduling.},
year = {2004},
booktitle = {ICCAD},
author = {{Jeng-Liang Tsai} and {Dong Hyun Baik} and {Charlie Chung-Ping Chen} and {Kewal K. Saluja}},
publisher = {IEEE Computer Society / ACM},
booktitle = {2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004}
}
@inproceedings{conf/ispd/WangTC04,
title = {Sensitivity guided net weighting for placement driven synthesis.},
year = {2004},
booktitle = {ISPD},
author = {{Ting-Yuan Wang} and {Jeng-Liang Tsai} and {Charlie Chung-Ping Chen}},
publisher = {ACM},
booktitle = {Proceedings of the 2004 International Symposium on Physical Design, ISPD 2004, Phoenix, Arizona, USA, April 18-21, 2004}
}
@inproceedings{conf/isqed/WangC04,
title = {SPICE-Compatible Thermal Simulation with Lumped Circuit Modeling for Thermal Reliability Analysis Based on Modeling Order Reduction.},
year = {2004},
booktitle = {ISQED},
author = {{Ting-Yuan Wang} and {Charlie Chung-Ping Chen}},
publisher = {IEEE Computer Society},
booktitle = {5th International Symposium on Quality of Electronic Design (ISQED 2004), 22-24 March 2004, San Jose, CA, USA}
}
@article{journals/tcad/TsaiCC04,
title = {Zero skew clock-tree optimization with buffer insertion/sizing and wire sizing.},
year = {2004},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Jeng-Liang Tsai} and {Tsung-Hao Chen} and {Charlie Chung-Ping Chen}}
}
@inproceedings{conf/aspdac/ChouWC05,
title = {Fast and effective gate-sizing with multiple-Vt assignment using generalized Lagrangian Relaxation.},
year = {2005},
booktitle = {ASP-DAC},
author = {{Hsinwei Chou} and {Yu-Hao Wang} and {Charlie Chung-Ping Chen}},
publisher = {ACM Press},
booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005}
}
@inproceedings{conf/aspdac/JiangC05,
title = {Comprehensive frequency dependent interconnect extraction and evaluation methodology.},
year = {2005},
booktitle = {ASP-DAC},
author = {{Rong Jiang 002} and {Charlie Chung-Ping Chen}},
publisher = {ACM Press},
booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005}
}
@inproceedings{conf/aspdac/TsaiC05,
title = {Process-variation robust and low-power zero-skew buffered clock-tree synthesis using projected scan-line sampling.},
year = {2005},
booktitle = {ASP-DAC},
author = {{Jeng-Liang Tsai} and {Charlie Chung-Ping Chen}},
publisher = {ACM Press},
booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005}
}
@inproceedings{conf/aspdac/ZhangHC05,
title = {Wave-pipelined on-chip global interconnect.},
year = {2005},
booktitle = {ASP-DAC},
author = {{Lizheng Zhang} and {Yuhen Hu} and {Charlie Chung-Ping Chen}},
publisher = {ACM Press},
booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005}
}
@inproceedings{conf/aspdac/ZhangHC05a,
title = {Block based statistical timing analysis with extended canonical timing model.},
year = {2005},
booktitle = {ASP-DAC},
author = {{Lizheng Zhang} and {Yuhen Hu} and {Charlie Chung-Ping Chen}},
publisher = {ACM Press},
booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005}
}
@inproceedings{conf/dac/JiangCC05,
title = {ICCAP: a linear time sparse transformation and reordering algorithm for 3D BEM capacitance extraction.},
year = {2005},
booktitle = {DAC},
author = {{Rong Jiang 002} and {Yi-Hao Chang} and {Charlie Chung-Ping Chen}},
publisher = {ACM},
booktitle = {Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005}
}
@inproceedings{conf/dac/ZhangCHGC05,
title = {Correlation-preserved non-gaussian statistical timing analysis with quadratic timing model.},
year = {2005},
booktitle = {DAC},
author = {{Lizheng Zhang} and {Weijen Chen} and {Yuhen Hu} and {John A. Gubner} and {Charlie Chung-Ping Chen}},
publisher = {ACM},
booktitle = {Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005}
}
@inproceedings{conf/date/ZhangCHC05,
title = {Statistical Timing Analysis with Extended Pseudo-Canonical Timing Model.},
year = {2005},
booktitle = {DATE},
author = {{Lizheng Zhang} and {Weijen Chen} and {Yuhen Hu} and {Charlie Chung-Ping Chen}},
publisher = {IEEE Computer Society},
booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany}
}
@inproceedings{conf/glvlsi/SharmaCC05,
title = {1-V 7-mW dual-band fast-locked frequency synthesizer.},
year = {2005},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Vikas Sharma} and {Chien-Liang Chen} and {Chung-Ping Chen}},
publisher = {ACM},
booktitle = {Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, Chicago, Illinois, USA, April 17-19, 2005}
}
@inproceedings{conf/iccad/JiangFWLC05,
title = {Efficient statistical capacitance variability modeling with orthogonal principle factor analysis.},
year = {2005},
booktitle = {ICCAD},
author = {{Rong Jiang 002} and {Wenyin Fu} and {Janet Meiling Wang} and {Vince Lin} and {Charlie Chung-Ping Chen}},
publisher = {IEEE Computer Society},
booktitle = {2005 International Conference on Computer-Aided Design, ICCAD 2005, San Jose, CA, USA, November 6-10, 2005}
}
@inproceedings{conf/iccad/WangSMCL05,
title = {System-level power and thermal modeling and analysis by orthogonal polynomial based response surface approach (OPRS).},
year = {2005},
booktitle = {ICCAD},
author = {{Janet Meiling Wang} and {Bharat Srinivas} and {Dongsheng Ma} and {Charlie Chung-Ping Chen} and {Jun Li 066}},
publisher = {IEEE Computer Society},
booktitle = {2005 International Conference on Computer-Aided Design, ICCAD 2005, San Jose, CA, USA, November 6-10, 2005}
}
@inproceedings{conf/vlsid/TsaiBCS05,
title = {False Path and Clock Scheduling Based Yield-Aware Gate Sizing.},
year = {2005},
booktitle = {VLSI Design},
author = {{Jeng-Liang Tsai} and {Dong Hyun Baik} and {Charlie Chung-Ping Chen} and {Kewal K. Saluja}},
publisher = {IEEE Computer Society},
booktitle = {18th International Conference on VLSI Design (VLSI Design 2005), with the 4th International Conference on Embedded Systems Design, 3-7 January 2005, Kolkata, India}
}
@article{journals/dt/TsaiBCS05,
title = {Yield-Driven, False-Path-Aware Clock Skew Scheduling.},
year = {2005},
journal = {IEEE Des. Test Comput.},
author = {{Jeng-Liang Tsai} and {Dong Hyun Baik} and {Charlie Chung-Ping Chen} and {Kewal K. Saluja}}
}
@article{journals/tcad/JiangFC05,
title = {EPEEC: comprehensive SPICE-compatible reluctance extraction for high-speed interconnects above lossy multilayer substrates.},
year = {2005},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Rong Jiang 002} and {Wenyin Fu} and {Charlie Chung-Ping Chen}}
}
@article{journals/tcad/LeeCCWC05,
title = {HiPRIME: hierarchical and passivity preserved interconnect macromodeling engine for RLKC power delivery.},
year = {2005},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Yu-Min Lee} and {Yahong Cao} and {Tsung-Hao Chen} and {Janet Meiling Wang} and {Charlie Chung-Ping Chen}}
}
@inproceedings{conf/aspdac/ZhangTCHC06,
title = {Convergence-provable statistical timing analysis with level-sensitive latches and feedback loops.},
year = {2006},
booktitle = {ASP-DAC},
author = {{Lizheng Zhang} and {Jeng-Liang Tsai} and {Weijen Chen} and {Yuhen Hu} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006}
}
@inproceedings{conf/date/ZhangHC06,
title = {Statistical timing analysis with path reconvergence and spatial correlations.},
year = {2006},
booktitle = {DATE},
author = {{Lizheng Zhang} and {Yuhen Hu} and {Charlie Chung-Ping Chen}},
publisher = {European Design and Automation Association, Leuven, Belgium},
booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006}
}
@inproceedings{conf/iscas/HuangLTC06,
title = {Simultaneous area minimization and decaps insertion for power delivery network using adjoint sensitivity analysis with IEKS method.},
year = {2006},
booktitle = {ISCAS},
author = {{Pei-Yu Huang} and {Yu-Min Lee} and {Jeng-Liang Tsai} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece}
}
@inproceedings{conf/ispd/ZhangSC06,
title = {Non-gaussian statistical parameter modeling for SSTA with confidence interval analysis.},
year = {2006},
booktitle = {ISPD},
author = {{Lizheng Zhang} and {Jun Shao} and {Charlie Chung-Ping Chen}},
publisher = {ACM},
booktitle = {Proceedings of the 2006 International Symposium on Physical Design, ISPD 2006, San Jose, California, USA, April 9-12, 2006}
}
@inproceedings{conf/isqed/RoyC06,
title = {ConvexSmooth: A simultaneous convex fitting and smoothing algorithm for convex optimization problems.},
year = {2006},
booktitle = {ISQED},
author = {{Sanghamitra Roy} and {Charlie Chung-Ping Chen}},
publisher = {IEEE Computer Society},
booktitle = {7th International Symposium on Quality of Electronic Design (ISQED 2006), 27-29 March 2006, San Jose, CA, USA}
}
@article{journals/pieee/TsaiCCGQZKWS06,
title = {Temperature-Aware Placement for SOCs.},
year = {2006},
journal = {Proc. IEEE},
author = {{Jeng-Liang Tsai} and {Charlie Chung-Ping Chen} and {Guoqiang Chen} and {Brent Goplen} and {Haifeng Qian} and {Yong Zhan} and {Sung-Mo Kang} and {Martin D. F. Wong} and {Sachin S. Sapatnekar}}
}
@article{journals/tcad/ZhangCHC06,
title = {Statistical static timing analysis with conditional linear MAX/MIN approximation and extended canonical timing model.},
year = {2006},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Lizheng Zhang} and {Weijen Chen} and {Yuhen Hu} and {Charlie Chung-Ping Chen}}
}
@article{journals/tcad/ZhangCHGC06,
title = {Correlation-Preserved Statistical Timing With a Quadratic Form of Gaussian Variables.},
year = {2006},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Lizheng Zhang} and {Weijen Chen} and {Yuhen Hu} and {John A. Gubner} and {Charlie Chung-Ping Chen}}
}
@inproceedings{conf/aspdac/RoyC07,
title = {SmartSmooth: A linear time convexity preserving smoothing algorithm for numerically convex data with application to VLSI design.},
year = {2007},
booktitle = {ASP-DAC},
author = {{Sanghamitra Roy} and {Charlie Chung-Ping Chen}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007}
}
@inproceedings{conf/isqed/OhCH07,
title = {3DFFT: Thermal Analysis of Non-Homogeneous IC Using 3D FFT Green Function Method.},
year = {2007},
booktitle = {ISQED},
author = {{Dongkeun Oh} and {Charlie Chung-Ping Chen} and {Yu Hen Hu}},
publisher = {IEEE Computer Society},
booktitle = {8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA}
}
@article{journals/tcad/RoyCCH07,
title = {Numerically Convex Forms and Their Application in Gate Sizing.},
year = {2007},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Sanghamitra Roy} and {Weijen Chen} and {Charlie Chung-Ping Chen} and {Yu Hen Hu}}
}
@inproceedings{conf/aspdac/ChanLZC08,
title = {LTCC spiral inductor modeling, synthesis, and optimization.},
year = {2008},
booktitle = {ASP-DAC},
author = {{Tuck Boon Chan} and {Hsinchia Lu} and {Jun-Kuei Zeng} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008}
}
@inproceedings{conf/aspdac/RoyHCHCT08,
title = {An optimal algorithm for sizing sequential circuits for industrial library based designs.},
year = {2008},
booktitle = {ASP-DAC},
author = {{Sanghamitra Roy} and {Yu Hen Hu} and {Charlie Chung-Ping Chen} and {Shih-Pin Hung} and {Tse-Yu Chiang} and {Jiuan-Guei Tseng}},
publisher = {IEEE},
booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008}
}
@inproceedings{conf/dac/LiuTCC08,
title = {Accurate and analytical statistical spatial correlation modeling for VLSI DFM applications.},
year = {2008},
booktitle = {DAC},
author = {{Jui-Hsiang Liu} and {Ming-Feng Tsai} and {Lumdo Chen} and {Charlie Chung-Ping Chen}},
publisher = {ACM},
booktitle = {Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008}
}
@inproceedings{conf/date/ZengC08,
title = {Deep Submicron Interconnect Timing Model with Quadratic Random Variable Analysis.},
year = {2008},
booktitle = {DATE},
author = {{Jun-Kuei Zeng} and {Chung-Ping Chen}},
publisher = {ACM},
booktitle = {Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008}
}
@inproceedings{conf/isqed/LiuZHCC08,
title = {Process-Variation Statistical Modeling for VLSI Timing Analysis.},
year = {2008},
booktitle = {ISQED},
author = {{Jui-Hsiang Liu} and {Jun-Kuei Zeng} and {Ai-Syuan Hong} and {Lumdo Chen} and {Charlie Chung-Ping Chen}},
publisher = {IEEE Computer Society},
booktitle = {9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA}
}
@inproceedings{conf/lcn/ChenBL08,
title = {Performance measurement and queueing analysis of medium-high blocking probability of two and three parallel connection servers.},
year = {2008},
booktitle = {LCN},
author = {{Chung-Ping Chen} and {Ying-Wen Bai} and {Yin-Sheng Lee}},
publisher = {IEEE Computer Society},
booktitle = {LCN 2008, The 33rd IEEE Conference on Local Computer Networks, The Conference on Leading Edge and Practical Computer Networking, Hyatt Regency Montreal, Montreal, Quebec, Canada, 14-17 October 2008, Proceedings}
}
@incollection{reference/crc/RoyC08,
title = {Wire Sizing.},
year = {2008},
booktitle = {Handbook of Algorithms for Physical Design Automation},
author = {{Sanghamitra Roy} and {Charlie Chung-Ping Chen}},
publisher = {Auerbach Publications},
booktitle = {Handbook of Algorithms for Physical Design Automation.}
}
@inproceedings{conf/aspdac/OhKCDH10,
title = {Runtime temperature-based power estimation for optimizing throughput of thermal-constrained multi-core processors.},
year = {2010},
booktitle = {ASP-DAC},
author = {{Dongkeun Oh} and {Nam Sung Kim} and {Charlie Chung-Ping Chen} and {Azadeh Davoodi} and {Yu Hen Hu}},
publisher = {IEEE},
booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, ASP-DAC 2010, Taipei, Taiwan, January 18-21, 2010}
}
@inproceedings{conf/date/ZengC10,
title = {Interconnect delay and slew metrics using the beta distribution.},
year = {2010},
booktitle = {DATE},
author = {{Jun-Kuei Zeng} and {Chung-Ping Chen}},
publisher = {IEEE Computer Society},
booktitle = {Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010}
}
@inproceedings{conf/isqed/OhCKH10,
title = {The compatibility analysis of thread migration and DVFS in multi-core processor.},
year = {2010},
booktitle = {ISQED},
author = {{Dongkeun Oh} and {Charlie Chung-Ping Chen} and {Nam Sung Kim} and {Yu Hen Hu}},
publisher = {IEEE},
booktitle = {11th International Symposium on Quality of Electronic Design (ISQED 2010), 22-24 March 2010, San Jose, CA, USA}
}
@inproceedings{conf/isqed/ZengC10,
title = {Interconnect delay and slew metrics using the extreme value distribution.},
year = {2010},
booktitle = {ISQED},
author = {{Jun-Kuei Zeng} and {Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {11th International Symposium on Quality of Electronic Design (ISQED 2010), 22-24 March 2010, San Jose, CA, USA}
}
@article{journals/tcad/LiuTCC10,
title = {Accurate and Analytical Statistical Spatial Correlation Modeling Based on Singular Value Decomposition for VLSI DFM Applications.},
year = {2010},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Jui-Hsiang Liu} and {Ming-Feng Tsai} and {Lumdo Chen} and {Charlie Chung-Ping Chen}}
}
@inproceedings{conf/bibe/ShenCLCLKCL11,
title = {Epileptic Seizure Detection for Multichannel EEG Signals with Support Vector Machines.},
year = {2011},
booktitle = {BIBE},
author = {{Chia-Ping Shen} and {Chih-Min Chan} and {Feng-Sheng Lin} and {Ming-Jang Chiu} and {Jeng-Wei Lin} and {Jui-Hung Kao} and {Chung-Ping Chen} and {Feipei Lai}},
publisher = {IEEE Computer Society},
booktitle = {11th IEEE International Conference on Bioinformatics and Bioengineering, BIBE 2011, Taichung, Taiwan, October 24-26, 2011}
}
@inproceedings{conf/cicc/WangHLLLC11,
title = {An at-speed self-testable technique for the high speed domino adder.},
year = {2011},
booktitle = {CICC},
author = {{Yu-Shun Wang} and {Min-Han Hsieh} and {Chia-Ming Liu} and {Chi-Wei Liu} and {James Chien-Mo Li} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {2011 IEEE Custom Integrated Circuits Conference, CICC 2011, San Jose, CA, USA, Sept. 19-21, 2011}
}
@inproceedings{conf/iscas/WangHLWLCC11,
title = {A 1.2V 6.4GHz 181ps 64-bit CD domino adder with DLL measurement technique.},
year = {2011},
booktitle = {ISCAS},
author = {{Yu-Shun Wang} and {Min-Han Hsieh} and {Chia-Ming Liu} and {Yi-Chi Wu} and {Bing-Feng Lin} and {Hsien-Chen Chiu} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2011), May 15-19 2011, Rio de Janeiro, Brazil}
}
@inproceedings{conf/iscas/WangHWLCLC11,
title = {A 12 Gb/s chip-to-chip AC coupled transceiver.},
year = {2011},
booktitle = {ISCAS},
author = {{Yu-Shun Wang} and {Min-Han Hsieh} and {Yi-Chi Wu} and {Chia-Ming Liu} and {Hsien-Chen Chiu} and {Bing-Feng Lin} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2011), May 15-19 2011, Rio de Janeiro, Brazil}
}
@inproceedings{conf/ccece/ChenBP12,
title = {Equivalent bandwidth model of parallel servers with a variation of CPU loads, system response time and number of users.},
year = {2012},
booktitle = {CCECE},
author = {{Chung-Ping Chen} and {Ying-Wen Bai} and {Hsiang-Hsiu Peng}},
publisher = {IEEE},
booktitle = {25th IEEE Canadian Conference on Electrical and Computer Engineering, CCECE 2012, Montreal, QC, Canada, April 29 - May 2, 2012}
}
@inproceedings{conf/iscas/HsiehLWCC12,
title = {A 2 - 8 GHz multi-phase distributed DLL using phase insertion in 90 nm.},
year = {2012},
booktitle = {ISCAS},
author = {{Min-Han Hsieh} and {Bing-Feng Lin} and {Yu-Shun Wang} and {Hao-Huei Chang} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {2012 IEEE International Symposium on Circuits and Systems, ISCAS 2012, Seoul, Korea (South), May 20-23, 2012}
}
@inproceedings{conf/isscc/HsiehCLC12,
title = {A 6.7MHz-to-1.24GHz 0.0318mm2 fast-locking all-digital DLL in 90nm CMOS.},
year = {2012},
booktitle = {ISSCC},
author = {{Min-Han Hsieh} and {Liang-Hsin Chen} and {Shen-Iuan Liu} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {2012 IEEE International Solid-State Circuits Conference, ISSCC 2012, San Francisco, CA, USA, February 19-23, 2012}
}
@article{journals/tcad/OhCH12,
title = {Efficient Thermal Simulation for 3-D IC With Thermal Through-Silicon Vias.},
year = {2012},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Dongkeun Oh} and {Charlie Chung-Ping Chen} and {Yu Hen Hu}}
}
@article{journals/tcas/WangHLC12,
title = {An At-Speed Test Technique for High-Speed High-order Adder by a 6.4-GHz 64-bit Domino Adder Example.},
year = {2012},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Yu-Shun Wang} and {Min-Han Hsieh} and {James Chien-Mo Li} and {Charlie Chung-Ping Chen}}
}
@inproceedings{conf/iscas/ChengHHHC13,
title = {A 10-bit current-steering DAC for HomePlug AV2 powerline communication system in 90nm CMOS.},
year = {2013},
booktitle = {ISCAS},
author = {{Wei-Sheng Cheng} and {Min-Han Hsieh} and {Shuo-Hong Hung} and {Szu-Yao Hung} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}
}
@inproceedings{conf/iscas/HungCC13,
title = {A high dynamic range programmable gain amplifier for HomePlug AV powerline communication system.},
year = {2013},
booktitle = {ISCAS},
author = {{Szu-Yao Hung} and {Kai-Hsiang Chan} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}
}
@inproceedings{conf/iscas/LiuHLHC13,
title = {A 52 dBc MTPR line driver for powerline communication HomePlug AV standard in 0.18-μm CMOS technology.},
year = {2013},
booktitle = {ISCAS},
author = {{Pang-Kai Liu} and {Szu-Yao Hung} and {Chang-Yi Liu} and {Min-Han Hsieh} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}
}
@inproceedings{conf/ic3d/YuCLC14,
title = {Cost-efficient hardware implementation of stereo image depth optimization system.},
year = {2014},
booktitle = {IC3D},
author = {{Chun-Chang Yu} and {Chia-Hao Cheng} and {Pei-Chun Lin} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {2014 International Conference on 3D Imaging, IC3D 2014, Liège, Belgium, December 9-10, 2014}
}
@inproceedings{conf/icce-berlin/HuangHCTC14,
title = {An efficient multi-touch tracking algorithm with a large number of points.},
year = {2014},
booktitle = {ICCE-Berlin},
author = {{Shih-Lun Huang} and {Sheng-Yi Hung} and {Charlie Chung-Ping Chen} and {Cheng-Han Tsao} and {Nai-Wen Chang 005}},
publisher = {IEEE},
booktitle = {IEEE Fourth International Conference on Consumer Electronics Berlin, ICCE-Berlin 2014, Berlin, Germany, September 7-10, 2014}
}
@inproceedings{conf/iscas/WuHSHHC14,
title = {Current-mode adaptively hysteretic control for buck converters with fast transient response and improved output regulation.},
year = {2014},
booktitle = {ISCAS},
author = {{Kuan-I Wu} and {Shuo-Hong Hung} and {Shang-Yu Shieh} and {Bor-Tsang Hwang} and {Szu-Yao Hung} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systemss, ISCAS 2014, Melbourne, Victoria, Australia, June 1-5, 2014}
}
@inproceedings{conf/date/HuangHC15,
title = {Clustering-based multi-touch algorithm framework for the tracking problem with a large number of points.},
year = {2015},
booktitle = {DATE},
author = {{Shih-Lun Huang} and {Sheng-Yi Hung} and {Chung-Ping Chen}},
publisher = {ACM},
booktitle = {Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015}
}
@inproceedings{conf/icmlc/ChenLLS15,
title = {Bandwidth performance analysis with a variation of Webpage sizes.},
year = {2015},
booktitle = {ICMLC},
author = {{Chung-Ping Chen} and {Guan-Jhong Lin} and {Yen-Hsemg Lin} and {Huai-Ping Song}},
publisher = {IEEE},
booktitle = {2015 International Conference on Machine Learning and Cybernetics, ICMLC 2015, Guangzhou, China, July 12-15, 2015}
}
@inproceedings{conf/iscas/ChienHWLHC15,
title = {A 8.1/5.4/2.7/1.62 Gb/s receiver for DisplayPort Version 1.3 with automatic bit-rate tracking scheme.},
year = {2015},
booktitle = {ISCAS},
author = {{Ai Chien} and {Shuo-Hong Hung} and {Kuan-I Wu} and {Chang-Yi Liu} and {Min-Han Hsieh} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {2015 IEEE International Symposium on Circuits and Systems, ISCAS 2015, Lisbon, Portugal, May 24-27, 2015}
}
@inproceedings{conf/iscas/HungKWHHC15,
title = {A 160MHz-to-2GHz low jitter fast lock all-digital DLL with phase tracking technique.},
year = {2015},
booktitle = {ISCAS},
author = {{Shuo-Hong Hung} and {Wei-Hao Kao} and {Kuan-I Wu} and {Yi-Wei Huang} and {Min-Han Hsieh} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {2015 IEEE International Symposium on Circuits and Systems, ISCAS 2015, Lisbon, Portugal, May 24-27, 2015}
}
@inproceedings{conf/iscas/WuHHC15,
title = {A fast-settling high linearity auto gain control for broadband OFDM-based PLC system.},
year = {2015},
booktitle = {ISCAS},
author = {{Kuan-I Wu} and {Szu-Yao Hung} and {Shuo-Hong Hung} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {2015 IEEE International Symposium on Circuits and Systems, ISCAS 2015, Lisbon, Portugal, May 24-27, 2015}
}
@inproceedings{conf/vlsic/WuSJC15,
title = {A -194 dBc/Hz FOM interactive current-reused QVCO (ICR-QVCO) with capacitor-coupling self-switching sinusoidal current biasing (CSSCB) phase noise reduction technique.},
year = {2015},
booktitle = {VLSIC},
author = {{Kuan-I Wu} and {I-Shing Shen} and {Christina F. Jou} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {Symposium on VLSI Circuits, VLSIC 2015, Kyoto, Japan, June 17-19, 2015}
}
@inproceedings{conf/date/LinPCFC16,
title = {Lossless compression algorithm based on dictionary coding for multiple e-beam direct write system.},
year = {2016},
booktitle = {DATE},
author = {{Pei-Chun Lin} and {Yu-Hsuan Pai} and {Yu-Hsiang Chiu} and {Shao-Yuan Fang} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {2016 Design, Automation & Test in Europe Conference & Exhibition, DATE 2016, Dresden, Germany, March 14-18, 2016}
}
@article{journals/jssc/HsiehCLC16,
title = {A 6.7 MHz to 1.24 GHz 0.0318 mm 2 Fast-Locking All-Digital DLL Using Phase-Tracing Delay Unit in 90 nm CMOS.},
year = {2016},
journal = {IEEE J. Solid State Circuits},
author = {{Min-Han Hsieh} and {Liang-Hsin Chen} and {Shen-Iuan Liu} and {Charlie Chung-Ping Chen}}
}
@inproceedings{conf/iscas/HuangHC17,
title = {An efficient DFT-based algoritiim for the charger noise problem in capacitive touch applications.},
year = {2017},
booktitle = {ISCAS},
author = {{Shih-Lun Huang} and {Sheng-Yi Hung} and {Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2017, Baltimore, MD, USA, May 28-31, 2017}
}
@inproceedings{conf/icdsp/HungWLLC18,
title = {A Real Time EEG Analysis System for the Prediction of Clinical Antidepressant Responses.},
year = {2018},
booktitle = {DSP},
author = {{Shuo-Hong Hung} and {Ina Wu} and {Yi-Chen Li 002} and {Cheng-Ta Li} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {23rd IEEE International Conference on Digital Signal Processing, DSP 2018, Shanghai, China, November 19-21, 2018}
}
@inproceedings{conf/ispacs/LiaoCCCWH19,
title = {Subjective Interpupillary Distance of Measurement Technique.},
year = {2019},
booktitle = {ISPACS},
author = {{Jih-Yi Liao} and {Der-Chin Chen} and {Shih-Tsung Chang} and {Chung-Ping Chen} and {Chao-Han Wu} and {Cheng-Ke Hsu}},
publisher = {IEEE},
booktitle = {2019 International Symposium on Intelligent Signal Processing and Communication Systems, ISPACS 2019, Taipei, Taiwan, December 3-6, 2019}
}
@article{journals/access/HuangHC19,
title = {Frequency Hopping and Parallel Driving With Random Delay Especially Suitable for the Charger Noise Problem in Mutual-Capacitive Touch Applications.},
year = {2019},
journal = {IEEE Access},
author = {{Shih-Lun Huang} and {Sheng-Yi Hung} and {Chung-Ping Chen}}
}
@article{journals/tcas/HsuTHLC19,
title = {A Transient Enhancement DC-DC Buck Converter With Dual Operating Modes Control Technique.},
year = {2019},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Yi-Chieh Hsu} and {Chung-Yi Ting} and {Li-Sheng Hsu} and {Jing-Yuan Lin} and {Charlie Chung-Ping Chen}}
}
@inproceedings{conf/iccad/JiangCHC20,
title = {Intelligent Design Automation for 2.5/3D Heterogeneous SoC Integration.},
year = {2020},
booktitle = {ICCAD},
author = {{Iris Hui-Ru Jiang} and {Yao-Wen Chang} and {Jiun-Lang Huang} and {Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {IEEE/ACM International Conference On Computer Aided Design, ICCAD 2020, San Diego, CA, USA, November 2-5, 2020}
}
@article{journals/tcas/TingLC20,
title = {A Quasi-V2 Hysteretic Buck Converter With Adaptive COT Control for Fast DVS and Load-Transient Response in RF Applications.},
year = {2020},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Chung-Yi Ting} and {Jing-Yuan Lin} and {Charlie Chung-Ping Chen}}
}
@inproceedings{conf/date/YuHLC21,
title = {Power Reduction of a Set-Associative Instruction Cache Using a Dynamic Early Tag Lookup.},
year = {2021},
booktitle = {DATE},
author = {{Chun-Chang Yu} and {Yu Hen Hu} and {Yi-Chang Lu} and {Charlie Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2021, Grenoble, France, February 1-5, 2021}
}
@inproceedings{conf/vlsi-dat/JiangCHC21,
title = {Opportunities for 2.5/3D Heterogeneous SoC Integration.},
year = {2021},
booktitle = {VLSI-DAT},
author = {{Iris Hui-Ru Jiang} and {Yao-Wen Chang} and {Jiun-Lang Huang} and {Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2021, Hsinchu, Taiwan, April 19-22, 2021}
}
@inproceedings{conf/ispd/JiangCHC22,
title = {Intelligent Design Automation for Heterogeneous Integration.},
year = {2022},
booktitle = {ISPD},
author = {{Iris Hui-Ru Jiang} and {Yao-Wen Chang} and {Jiun-Lang Huang} and {Charlie Chung-Ping Chen}},
publisher = {ACM},
booktitle = {ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27 - 30, 2022}
}
@inproceedings{conf/iscas/ChengTWLC23,
title = {A Ripple-Based Constant On-Time Controlled DC-DC Buck Converter with Inductor Current Sensing Technique.},
year = {2023},
booktitle = {ISCAS},
author = {{Sheng-Jen Cheng} and {Chieh-Ju Tsai} and {Sheng-Yu Wang} and {Wei-Yi Liu} and {Chung-Ping Chen}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2023, Monterey, CA, USA, May 21-25, 2023}
}
@article{journals/access/ChengSHCCJ23,
title = {Injection-Locked Frequency Sixtuplers in 90 nm CMOS by Using the Push-Push Doubler.},
year = {2023},
journal = {IEEE Access},
author = {{Sheng-Jen Cheng} and {Pi-Neng Shen} and {Chung-Hung Hong} and {Zheng-Wei Chen} and {Chung-Ping Chen} and {Sheng-Lyang Jang}}
}