% csauthors.net - beta - BibTeX bibliography of Cheng-Kok Koh
@article{journals/tvlsi/CongK94,
title = {Simultaneous driver and wire sizing for performance and power optimization.},
year = {1994},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Jason Cong} and {Cheng-Kok Koh}}
}
@inproceedings{conf/iccad/CongKKT95,
title = {Bounded-skew clock and Steiner routing under Elmore delay.},
year = {1995},
booktitle = {ICCAD},
author = {{Jason Cong} and {Andrew B. Kahng} and {Cheng-Kok Koh} and {Chung-Wen Albert Tsao}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1995, San Jose, California, USA, November 5-9, 1995}
}
@inproceedings{conf/iscas/CongK95,
title = {Minimum-Cost Bounded-Skew Clock Routing.},
year = {1995},
booktitle = {ISCAS},
author = {{Jason Cong} and {Cheng-Kok Koh}},
publisher = {IEEE},
booktitle = {1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30 - May 3, 1995}
}
@inproceedings{conf/islped/CongKL96,
title = {Simultaneous buffer and wire sizing for performance and power optimization.},
year = {1996},
booktitle = {ISLPED},
author = {{Jason Cong} and {Cheng-Kok Koh} and {Kwok-Shing Leung}},
publisher = {IEEE},
booktitle = {Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996, Monterey, California, USA, August 12-14, 1996}
}
@article{journals/integration/CongHKM96,
title = {Performance optimization of VLSI interconnect layout.},
year = {1996},
journal = {Integr.},
author = {{Jason Cong} and {Lei He 001} and {Cheng-Kok Koh} and {Patrick H. Madden}}
}
@inproceedings{conf/iccad/CongHKP97,
title = {Global interconnect sizing and spacing with consideration of coupling capacitance.},
year = {1997},
booktitle = {ICCAD},
author = {{Jason Cong} and {Lei He 001} and {Cheng-Kok Koh} and {David Zhigang Pan}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997}
}
@inproceedings{conf/iccad/CongK97,
title = {Interconnect layout optimization under higher-order RLC model.},
year = {1997},
booktitle = {ICCAD},
author = {{Jason Cong} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997}
}
@inproceedings{conf/iccad/CongPHKK97,
title = {Interconnect design for deep submicron ICs.},
year = {1997},
booktitle = {ICCAD},
author = {{Jason Cong} and {David Zhigang Pan} and {Lei He 001} and {Cheng-Kok Koh} and {Kei-Yong Khoo}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997}
}
@article{journals/todaes/CongKKT98,
title = {Bounded-skew clock and Steiner routing.},
year = {1998},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Jason Cong} and {Andrew B. Kahng} and {Cheng-Kok Koh} and {Chung-Wen Albert Tsao}}
}
@inproceedings{conf/cicc/WeiRK00,
title = {Power minimization by simultaneous dual-Vth assignment and gate-sizing.},
year = {2000},
booktitle = {CICC},
author = {{Liqiong Wei} and {Kaushik Roy 001} and {Cheng-Kok Koh}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE 2000 Custom Integrated Circuits Conference, CICC 2000, Orlando, FL, USA, May 21-24, 2000}
}
@inproceedings{conf/glvlsi/KohM00,
title = {Manhattan or non-Manhattan?: a study of alternative VLSI routing architectures.},
year = {2000},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Cheng-Kok Koh} and {Patrick H. Madden}},
publisher = {ACM},
booktitle = {Proceedings of the 10th ACM Great Lakes Symposium on VLSI 2000, Chicago, Illinois, USA, March 2-4, 2000}
}
@inproceedings{conf/iccad/ZhangRKJ00,
title = {Stochastic Wire-Length and Delay Distribution of 3-Dimensional Circuits.},
year = {2000},
booktitle = {ICCAD},
author = {{Rongtian Zhang} and {Kaushik Roy 001} and {Cheng-Kok Koh} and {David B. Janes}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}
}
@inproceedings{conf/iccad/ZhaoRK00,
title = {Frequency Domain Analysis of Switching Noise on Power Supply Network.},
year = {2000},
booktitle = {ICCAD},
author = {{Shiyou Zhao} and {Kaushik Roy 001} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}
}
@inproceedings{conf/iccad/ZhongKR00,
title = {A Twisted Bundle Layout Structure for Minimizing Inductive Coupling Noise.},
year = {2000},
booktitle = {ICCAD},
author = {{Guoan Zhong} and {Cheng-Kok Koh} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}
}
@inproceedings{conf/iccd/SolomatnikovRKS00,
title = {Skewed CMOS: Noise-Immune High-Performance Low-Power Static Circuit Family.},
year = {2000},
booktitle = {ICCD},
author = {{Alexandre Solomatnikov} and {Kaushik Roy 001} and {Cheng-Kok Koh} and {Dinesh Somasekhar}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, ICCD '00, Austin, Texas, USA, September 17-20, 2000}
}
@inproceedings{conf/iccd/ZhaoRK00,
title = {Estimation of Inductive and Resistive Switching Noise on Power Supply Network in Deep Sub-Micron CMOS Circuits.},
year = {2000},
booktitle = {ICCD},
author = {{Shiyou Zhao} and {Kaushik Roy 001} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, ICCD '00, Austin, Texas, USA, September 17-20, 2000}
}
@inproceedings{conf/ispd/SarkarSK00,
title = {Routability-driven repeater block planning for interconnect-centric floorplanning.},
year = {2000},
booktitle = {ISPD},
author = {{Probir Sarkar} and {Vivek Sundararaman} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Proceedings of the 2000 International Symposium on Physical Design, ISPD 2000, San Diego, CA, USA, April 9-12, 2000}
}
@inproceedings{conf/amcc/BalakrishnanSK01,
title = {Efficient balance-and-truncate model reduction for large scale systems.},
year = {2001},
booktitle = {ACC},
author = {{V. Balakrishnan 001} and {Q. Su} and {Cheng-Kok Koh}},
publisher = {IEEE},
booktitle = {American Control Conference, ACC 2001, Arlington, VA, USA, 25-27 June, 2001}
}
@inproceedings{conf/dac/ZhangRKJ01,
title = {Exploring SOI Device Structures and Interconnect Architectures for 3-Dimensional Integration.},
year = {2001},
booktitle = {DAC},
author = {{Rongtian Zhang} and {Kaushik Roy 001} and {Cheng-Kok Koh} and {David B. Janes}},
publisher = {ACM},
booktitle = {Proceedings of the 38th Design Automation Conference, DAC 2001, Las Vegas, NV, USA, June 18-22, 2001}
}
@inproceedings{conf/date/SarkarK01,
title = {Repeater block planning under simultaneous delay and transition time constraints.},
year = {2001},
booktitle = {DATE},
author = {{Probir Sarkar} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2001, Munich, Germany, March 12-16, 2001}
}
@inproceedings{conf/iscas/WangRK01,
title = {Short-circuit power analysis of an inverter driving an RLC load.},
year = {2001},
booktitle = {ISCAS (4)},
author = {{Rui Wang} and {Kaushik Roy 001} and {Cheng-Kok Koh}},
publisher = {IEEE},
booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001}
}
@inproceedings{conf/iscas/ZhangRKJ01,
title = {Power trends and performance characterization of 3-dimensional integration.},
year = {2001},
booktitle = {ISCAS (4)},
author = {{Rongtian Zhang} and {Kaushik Roy 001} and {Cheng-Kok Koh} and {David B. Janes}},
publisher = {IEEE},
booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001}
}
@inproceedings{conf/islped/SirisantanaCDKR01,
title = {Selectively clocked skewed logic (SCSL): low-power logic style for high-performance applications.},
year = {2001},
booktitle = {ISLPED},
author = {{Naran Sirisantana} and {Aiqun Cao} and {Shawn Davidson} and {Cheng-Kok Koh} and {Kaushik Roy 001}},
publisher = {ACM},
booktitle = {Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001, Huntington Beach, California, USA, 2001}
}
@inproceedings{conf/ispd/ZhaoRK01,
title = {Decoupling capacitance allocation for power supply noise suppression.},
year = {2001},
booktitle = {ISPD},
author = {{Shiyou Zhao} and {Kaushik Roy 001} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Proceedings of the 2001 International Symposium on Physical Design, ISPD 2001, Sonoma County, CA, USA, April 1-4, 2001}
}
@inproceedings{conf/isqed/ZhangRKJ01,
title = {Power Trends and Performance Characterization of 3-Dimensional Integration for Future Technology Generations.},
year = {2001},
booktitle = {ISQED},
author = {{Rongtian Zhang} and {Kaushik Roy 001} and {Cheng-Kok Koh} and {David B. Janes}},
publisher = {IEEE Computer Society},
booktitle = {2nd International Symposium on Quality of Electronic Design (ISQED 2001), 26-28 March 2001, San Jose, CA, USA}
}
@article{journals/tcad/CongHKP01,
title = {Interconnect sizing and spacing with consideration of couplingcapacitance.},
year = {2001},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Jason Cong} and {Lei He 001} and {Cheng-Kok Koh} and {David Zhigang Pan}}
}
@article{journals/tcad/CongKM01,
title = {Interconnect layout optimization under higher order RLC model forMCM designs.},
year = {2001},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Jason Cong} and {Cheng-Kok Koh} and {Patrick H. Madden}}
}
@article{journals/tcad/SarkarK01,
title = {Routability-driven repeater block planning for interconnect-centricfloorplanning.},
year = {2001},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Probir Sarkar} and {Cheng-Kok Koh}}
}
@inproceedings{conf/dac/SuBK02,
title = {A factorization-based framework for passivity-preserving model reduction of RLC systems.},
year = {2002},
booktitle = {DAC},
author = {{Q. Su} and {Venkataramanan Balakrishnan} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002}
}
@inproceedings{conf/date/ChenBKR02,
title = {Model Reduction in the Time-Domain Using Laguerre Polynomials and Krylov Methods.},
year = {2002},
booktitle = {DATE},
author = {{Yiran Chen 001} and {Venkataramanan Balakrishnan} and {Cheng-Kok Koh} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France}
}
@inproceedings{conf/date/LuZKC02,
title = {Flip-Flop and Repeater Insertion for Early Interconnect Planning.},
year = {2002},
booktitle = {DATE},
author = {{Ruibing Lu} and {Guoan Zhong} and {Cheng-Kok Koh} and {Kai-Yuan Chao}},
publisher = {IEEE Computer Society},
booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France}
}
@inproceedings{conf/iccd/ZhongK02,
title = {Exact Closed Form Formula for Partial Mutual Inductances of On-Chip Interconnects.},
year = {2002},
booktitle = {ICCD},
author = {{Guoan Zhong} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society},
booktitle = {20th International Conference on Computer Design (ICCD 2002), VLSI in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings}
}
@inproceedings{conf/isqed/CaoSKR02,
title = {Synthesis of Selectively Clocked Skewed Logic Circuits.},
year = {2002},
booktitle = {ISQED},
author = {{Aiqun Cao} and {Naran Sirisantana} and {Cheng-Kok Koh} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {3rd International Symposium on Quality of Electronic Design, ISQED 2002, San Jose, CA, USA, March 18-21, 2002}
}
@inproceedings{conf/isqed/LamKT02,
title = {Power Supply Noise Suppression via Clock Skew Scheduling.},
year = {2002},
booktitle = {ISQED},
author = {{Wai-Ching Douglas Lam} and {Cheng-Kok Koh} and {Chung-Wen Albert Tsao}},
publisher = {IEEE Computer Society},
booktitle = {3rd International Symposium on Quality of Electronic Design, ISQED 2002, San Jose, CA, USA, March 18-21, 2002}
}
@inproceedings{conf/vlsid/SuBK02,
title = {Efficient Approximate Balanced Truncation of General Large-Scale RLC Systems via Krylov Methods.},
year = {2002},
booktitle = {ASP-DAC/VLSI Design},
author = {{Q. Su} and {Venkataramanan Balakrishnan} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), and the 15th International Conference on VLSI Design (VLSI Design 2002), Bangalore, India, January 7-11, 2002}
}
@inproceedings{conf/vlsid/ZhaoRK02,
title = {Power Supply Noise Aware Floorplanning and Decoupling Capacitance Placement.},
year = {2002},
booktitle = {ASP-DAC/VLSI Design},
author = {{Shiyou Zhao} and {Kaushik Roy 001} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), and the 15th International Conference on VLSI Design (VLSI Design 2002), Bangalore, India, January 7-11, 2002}
}
@article{journals/tcad/ZhaoRK02,
title = {Decoupling capacitance allocation and its application topower-supply noise-aware floorplanning.},
year = {2002},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Shiyou Zhao} and {Kaushik Roy 001} and {Cheng-Kok Koh}}
}
@article{journals/todaes/TsaoK02,
title = {UST/DME: a clock tree router for general skew constraints.},
year = {2002},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Chung-Wen Albert Tsao} and {Cheng-Kok Koh}}
}
@inproceedings{conf/aspdac/CaoSKR03,
title = {Integer linear programming-based synthesis of skewed logic circuits.},
year = {2003},
booktitle = {ASP-DAC},
author = {{Aiqun Cao} and {Naran Sirisantana} and {Cheng-Kok Koh} and {Kaushik Roy 001}},
publisher = {ACM},
booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, ASP-DAC '03, Kitakyushu, Japan, January 21-24, 2003}
}
@inproceedings{conf/aspdac/ZhongKR03,
title = {A metric for analyzing effective on-chip inductive coupling.},
year = {2003},
booktitle = {ASP-DAC},
author = {{Guoan Zhong} and {Cheng-Kok Koh} and {Kaushik Roy 001}},
publisher = {ACM},
booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, ASP-DAC '03, Kitakyushu, Japan, January 21-24, 2003}
}
@inproceedings{conf/dac/ZhongKBR03,
title = {An adaptive window-based susceptance extraction and its efficient implementation.},
year = {2003},
booktitle = {DAC},
author = {{Guoan Zhong} and {Cheng-Kok Koh} and {Venkataramanan Balakrishnan} and {Kaushik Roy 001}},
publisher = {ACM},
booktitle = {Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003}
}
@inproceedings{conf/date/LuK03,
title = {Interconnect Planning with Local Area Constrained Retiming.},
year = {2003},
booktitle = {DATE},
author = {{Ruibing Lu} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society},
booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany}
}
@inproceedings{conf/iccad/LuK03,
title = {SAMBA-Bus: A High Performance Bus Architecture for System-on-Chips.},
year = {2003},
booktitle = {ICCAD},
author = {{Ruibing Lu} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society / ACM},
booktitle = {2003 International Conference on Computer-Aided Design, ICCAD 2003, San Jose, CA, USA, November 9-13, 2003}
}
@inproceedings{conf/iccad/LuK03a,
title = {Performance Optimization of Latency Insensitive Systems Through Buffer Queue Sizing of Communication Channels.},
year = {2003},
booktitle = {ICCAD},
author = {{Ruibing Lu} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society / ACM},
booktitle = {2003 International Conference on Computer-Aided Design, ICCAD 2003, San Jose, CA, USA, November 9-13, 2003}
}
@inproceedings{conf/iccd/CaoK03,
title = {Non-Crossing OBDDs for Mapping to Regular Circuit Structures.},
year = {2003},
booktitle = {ICCD},
author = {{Aiqun Cao} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society},
booktitle = {21st International Conference on Computer Design (ICCD 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings}
}
@inproceedings{conf/islped/ChenRK03,
title = {Integrated architectural/physical planning approach for minimization of current surge in high performance clock-gated microprocessors.},
year = {2003},
booktitle = {ISLPED},
author = {{Yiran Chen 001} and {Kaushik Roy 001} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003}
}
@inproceedings{conf/isqed/LamKT03,
title = {Clock Scheduling for Power Supply Noise Suppression using Genetic Algorithm with Selective Gene Therapy.},
year = {2003},
booktitle = {ISQED},
author = {{Wai-Ching Douglas Lam} and {Cheng-Kok Koh} and {Chung-Wen Albert Tsao}},
publisher = {IEEE Computer Society},
booktitle = {4th International Symposium on Quality of Electronic Design (ISQED 2003), 24-26 March 2003, San Jose, CA, USA}
}
@article{journals/tcad/ZhongKR03,
title = {On-chip interconnect modeling by wire duplication.},
year = {2003},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Guoan Zhong} and {Cheng-Kok Koh} and {Kaushik Roy 001}}
}
@inproceedings{conf/aspdac/ChenRK04,
title = {Priority assignment optimization for minimization of current surge in high performance power efficient clock-gated microprocessor.},
year = {2004},
booktitle = {ASP-DAC},
author = {{Yiran Chen 001} and {Kaushik Roy 001} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}
}
@inproceedings{conf/aspdac/LuK04,
title = {A high performance bus communication architecture through bus splitting.},
year = {2004},
booktitle = {ASP-DAC},
author = {{Ruibing Lu} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}
}
@inproceedings{conf/dac/CaoK04,
title = {Post-layout logic optimization of domino circuits.},
year = {2004},
booktitle = {DAC},
author = {{Aiqun Cao} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004}
}
@inproceedings{conf/dac/WongBK04,
title = {Passivity-preserving model reduction via a computationally efficient project-and-balance scheme.},
year = {2004},
booktitle = {DAC},
author = {{Ngai Wong} and {Venkataramanan Balakrishnan} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004}
}
@inproceedings{conf/icassp/WongBKN04,
title = {A fast Newton/Smith algorithm for solving algebraic Riccati equations and its application in model order reduction.},
year = {2004},
booktitle = {ICASSP (5)},
author = {{Ngai Wong} and {Venkataramanan Balakrishnan} and {Cheng-Kok Koh} and {Tung-Sang Ng}},
publisher = {IEEE},
booktitle = {2004 IEEE International Conference on Acoustics, Speech, and Signal Processing, ICASSP 2004, Montreal, Quebec, Canada, May 17-21, 2004}
}
@inproceedings{conf/iccad/JainKB04,
title = {Fast simulation of VLSI interconnects.},
year = {2004},
booktitle = {ICCAD},
author = {{Jitesh Jain} and {Cheng-Kok Koh} and {Venkataramanan Balakrishnan}},
publisher = {IEEE Computer Society / ACM},
booktitle = {2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004}
}
@inproceedings{conf/ispd/KhatkhateLAYOKM04,
title = {Recursive bisection based mixed block placement.},
year = {2004},
booktitle = {ISPD},
author = {{Ateen Khatkhate} and {Chen Li 004} and {Ameya R. Agnihotri} and {Mehmet Can Yildiz} and {Satoshi Ono} and {Cheng-Kok Koh} and {Patrick H. Madden}},
publisher = {ACM},
booktitle = {Proceedings of the 2004 International Symposium on Physical Design, ISPD 2004, Phoenix, Arizona, USA, April 18-21, 2004}
}
@inproceedings{conf/IEEEcit/ZhuWK05,
title = {A Performance and Power Co-optimization Approach for Modern Processors.},
year = {2005},
booktitle = {CIT},
author = {{Yongxin Zhu 001} and {Weng-Fai Wong} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society},
booktitle = {Fifth International Conference on Computer and Information Technology (CIT 2005), 21-23 September 2005, Shanghai, China}
}
@inproceedings{conf/aspdac/CaoLK05,
title = {Post-layout logic duplication for synthesis of domino circuits with complex gates.},
year = {2005},
booktitle = {ASP-DAC},
author = {{Aiqun Cao} and {Ruibing Lu} and {Cheng-Kok Koh}},
publisher = {ACM Press},
booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005}
}
@inproceedings{conf/aspdac/LamK05,
title = {Process variation robust clock tree routing.},
year = {2005},
booktitle = {ASP-DAC},
author = {{Wai-Ching Douglas Lam} and {Cheng-Kok Koh}},
publisher = {ACM Press},
booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005}
}
@inproceedings{conf/aspdac/LiBKZ05,
title = {Compact and stable modeling of partial inductance and reluctance matrices.},
year = {2005},
booktitle = {ASP-DAC},
author = {{Hong Li} and {Venkataramanan Balakrishnan} and {Cheng-Kok Koh} and {Guoan Zhong}},
publisher = {ACM Press},
booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005}
}
@inproceedings{conf/aspdac/LiKM05,
title = {Floorplan management: incremental placement for gate sizing and buffer insertion.},
year = {2005},
booktitle = {ASP-DAC},
author = {{Chen Li 004} and {Cheng-Kok Koh} and {Patrick H. Madden}},
publisher = {ACM Press},
booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005}
}
@inproceedings{conf/aspdac/LuCK05,
title = {Improving the scalability of SAMBA bus architecture.},
year = {2005},
booktitle = {ASP-DAC},
author = {{Ruibing Lu} and {Aiqun Cao} and {Cheng-Kok Koh}},
publisher = {ACM Press},
booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005}
}
@inproceedings{conf/glvlsi/MinzLK05,
title = {3D module placement for congestion and power noise reduction.},
year = {2005},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Jacob R. Minz} and {Sung Kyu Lim} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, Chicago, Illinois, USA, April 17-19, 2005}
}
@inproceedings{conf/iccad/LamJKBC05,
title = {Statistical based link insertion for robust clock network design.},
year = {2005},
booktitle = {ICCAD},
author = {{Wai-Ching Douglas Lam} and {Jitesh Jain} and {Cheng-Kok Koh} and {Venkataramanan Balakrishnan} and {Yiran Chen 001}},
publisher = {IEEE Computer Society},
booktitle = {2005 International Conference on Computer-Aided Design, ICCAD 2005, San Jose, CA, USA, November 6-10, 2005}
}
@inproceedings{conf/islped/ChenLRK05,
title = {Cascaded carry-select adder (C2SA): a new structure for low-power CSA design.},
year = {2005},
booktitle = {ISLPED},
author = {{Yiran Chen 001} and {Hai Li 001} and {Kaushik Roy 001} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005}
}
@article{journals/tcad/AgnihotriOLYKKM05,
title = {Mixed block placement via fractional cut recursive bisection.},
year = {2005},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Ameya R. Agnihotri} and {Satoshi Ono} and {Chen Li 004} and {Mehmet Can Yildiz} and {Ateen Khatkhate} and {Cheng-Kok Koh} and {Patrick H. Madden}}
}
@article{journals/todaes/CaoSKR05,
title = {Synthesis of skewed logic circuits.},
year = {2005},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Aiqun Cao} and {Naran Sirisantana} and {Cheng-Kok Koh} and {Kaushik Roy 001}}
}
@article{journals/tvlsi/ChenRK05,
title = {Current demand balancing: a technique for minimization of current surge in high performance clock-gated microprocessors.},
year = {2005},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Yiran Chen 001} and {Kaushik Roy 001} and {Cheng-Kok Koh}}
}
@inproceedings{conf/aspdac/JainCKB06,
title = {SASIMI: sparsity-aware simulation of interconnect-dominated circuits with non-linear devices.},
year = {2006},
booktitle = {ASP-DAC},
author = {{Jitesh Jain} and {Stephen Cauley} and {Cheng-Kok Koh} and {Venkataramanan Balakrishnan}},
publisher = {IEEE},
booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006}
}
@inproceedings{conf/aspdac/LiCRK06,
title = {SAVS: a self-adaptive variable supply-voltage technique for process- tolerant and power-efficient multi-issue superscalar processor design.},
year = {2006},
booktitle = {ASP-DAC},
author = {{Hai Li 001} and {Yiran Chen 001} and {Kaushik Roy 001} and {Cheng-Kok Koh}},
publisher = {IEEE},
booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006}
}
@inproceedings{conf/aspdac/YangKB06,
title = {Adaptive admittance-based conductor meshing for interconnect analysis.},
year = {2006},
booktitle = {ASP-DAC},
author = {{Ya-Chi Yang} and {Cheng-Kok Koh} and {Venkataramanan Balakrishnan}},
publisher = {IEEE},
booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006}
}
@inproceedings{conf/cicc/WangKJC06,
title = {Clock Generation and Distribution Using Traveling-Wave Oscillators with Reflection and Regeneration.},
year = {2006},
booktitle = {CICC},
author = {{Ruilin Wang} and {Cheng-Kok Koh} and {Byunghoo Jung} and {William J. Chappell}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, CICC 2006, DoubleTree Hotel, San Jose, California, USA, September 10-13, 2006}
}
@inproceedings{conf/iccad/LiBK06,
title = {Stable and compact inductance modeling of 3-D interconnect structures.},
year = {2006},
booktitle = {ICCAD},
author = {{Hong Li} and {Venkataramanan Balakrishnan} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006}
}
@article{journals/tcad/LuK06,
title = {Performance analysis of latency-insensitive systems.},
year = {2006},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Ruibing Lu} and {Cheng-Kok Koh}}
}
@article{journals/tcad/WongBKN06,
title = {Two Algorithms for Fast and Accurate Passivity-Preserving Model Order Reduction.},
year = {2006},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Ngai Wong} and {Venkataramanan Balakrishnan} and {Cheng-Kok Koh} and {Tung-Sang Ng}}
}
@article{journals/tcas/JainKB06,
title = {Exact and numerically stable closed-form expressions for potential coefficients of rectangular conductors.},
year = {2006},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Jitesh Jain} and {Cheng-Kok Koh} and {Venkataramanan Balakrishnan}}
}
@article{journals/todaes/CaoLLK06,
title = {Postlayout optimization for synthesis of Domino circuits.},
year = {2006},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Aiqun Cao} and {Ruibing Lu} and {Chen Li 004} and {Cheng-Kok Koh}}
}
@inproceedings{conf/iccad/LiJKB07,
title = {A fast band-matching technique for interconnect inductance modeling.},
year = {2007},
booktitle = {ICCAD},
author = {{Hong Li} and {Jitesh Jain} and {Cheng-Kok Koh} and {Venkataramanan Balakrishnan}},
publisher = {IEEE Computer Society},
booktitle = {2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007}
}
@inproceedings{conf/iccad/WangK07,
title = {A frequency-domain technique for statistical timing analysis of clock meshes.},
year = {2007},
booktitle = {ICCAD},
author = {{Ruilin Wang} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society},
booktitle = {2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007}
}
@inproceedings{conf/iccd/WongKCL07,
title = {VOSCH: Voltage scaled cache hierarchies.},
year = {2007},
booktitle = {ICCD},
author = {{Weng-Fai Wong} and {Cheng-Kok Koh} and {Yiran Chen 001} and {Hai Li 001}},
publisher = {IEEE},
booktitle = {25th International Conference on Computer Design, ICCD 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings}
}
@inproceedings{conf/islped/ChenLLK07,
title = {Variable-latency adder (VL-adder): new arithmetic circuit design practice to overcome NBTI.},
year = {2007},
booktitle = {ISLPED},
author = {{Yiran Chen 001} and {Hai Li 001} and {Jing Li 073} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007}
}
@inproceedings{conf/isqed/LiJBK07,
title = {Efficient Analysis of Large-Scale Power Grids Based on a Compact Cholesky Factorization.},
year = {2007},
booktitle = {ISQED},
author = {{Hong Li} and {Jitesh Jain} and {Venkataramanan Balakrishnan} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society},
booktitle = {8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA}
}
@inproceedings{conf/isqed/LiK07,
title = {Recursive Function Smoothing of Half-Perimeter Wirelength for Analytical Placement.},
year = {2007},
booktitle = {ISQED},
author = {{Chen Li 004} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society},
booktitle = {8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA}
}
@inproceedings{conf/isqed/LiKBC07,
title = {Statistical Timing Analysis Considering Spatial Correlations.},
year = {2007},
booktitle = {ISQED},
author = {{Hong Li} and {Cheng-Kok Koh} and {Venkataramanan Balakrishnan} and {Yiran Chen 001}},
publisher = {IEEE Computer Society},
booktitle = {8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA}
}
@article{journals/tcad/LiXKCM07,
title = {Routability-Driven Placement and White Space Allocation.},
year = {2007},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Chen Li 004} and {Min Xie 004} and {Cheng-Kok Koh} and {Jason Cong} and {Patrick H. Madden}}
}
@article{journals/tcas/JainKB07,
title = {Corrections to "Exact and Numerically Stable Closed-Form Expressions for Potential Coefficients of Rectangular Conductors".},
year = {2007},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Jitesh Jain} and {Cheng-Kok Koh} and {Venkataramanan Balakrishnan}}
}
@article{journals/tvlsi/LuCK07,
title = {SAMBA-Bus: A High Performance Bus Architecture for System-on-Chips.},
year = {2007},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Ruibing Lu} and {Aiqun Cao} and {Cheng-Kok Koh}}
}
@inproceedings{conf/iccad/TsotaKB08,
title = {Guiding global placement with wire density.},
year = {2008},
booktitle = {ICCAD},
author = {{Kalliopi Tsota} and {Cheng-Kok Koh} and {Venkataramanan Balakrishnan}},
publisher = {IEEE Computer Society},
booktitle = {2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008}
}
@inproceedings{conf/iscas/JainLKB08,
title = {A fast band matching technique for impedance extraction.},
year = {2008},
booktitle = {ISCAS},
author = {{Jitesh Jain} and {Hong Li} and {Cheng-Kok Koh} and {Venkataramanan Balakrishnan}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, USA}
}
@inproceedings{conf/ispd/LeeKWC08,
title = {Optimal post-routing redundant via insertion.},
year = {2008},
booktitle = {ISPD},
author = {{Kuang-Yao Lee} and {Cheng-Kok Koh} and {Ting-Chi Wang} and {Kai-Yuan Chao}},
publisher = {ACM},
booktitle = {Proceedings of the 2008 International Symposium on Physical Design, ISPD 2008, Portland, Oregon, USA, April 13-16, 2008}
}
@article{journals/tcad/LeeKWC08,
title = {Fast and Optimal Redundant Via Insertion.},
year = {2008},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Kuang-Yao Lee} and {Cheng-Kok Koh} and {Ting-Chi Wang} and {Kai-Yuan Chao}}
}
@incollection{reference/crc/KohYC08,
title = {Global Interconnect Planning.},
year = {2008},
booktitle = {Handbook of Algorithms for Physical Design Automation},
author = {{Cheng-Kok Koh} and {Evangeline F. Y. Young} and {Yao-Wen Chang}},
publisher = {Auerbach Publications},
booktitle = {Handbook of Algorithms for Physical Design Automation.}
}
@inproceedings{conf/dac/ChaiJK09,
title = {A direct integral-equation solver of linear complexity for large-scale 3D capacitance and impedance extraction.},
year = {2009},
booktitle = {DAC},
author = {{Wenwen Chai} and {Dan Jiao} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009}
}
@inproceedings{conf/iccad/TsotaKB09,
title = {A study of routability estimation and clustering in placement.},
year = {2009},
booktitle = {ICCAD},
author = {{Kalliopi Tsota} and {Cheng-Kok Koh} and {Venkataramanan Balakrishnan}},
publisher = {ACM},
booktitle = {2009 International Conference on Computer-Aided Design, ICCAD 2009, San Jose, CA, USA, November 2-5, 2009}
}
@inproceedings{conf/iccd/KohWCL09,
title = {The salvage cache: A fault-tolerant cache architecture for next-generation memory technologies.},
year = {2009},
booktitle = {ICCD},
author = {{Cheng-Kok Koh} and {Weng-Fai Wong} and {Yiran Chen 001} and {Hai Li 001}},
publisher = {IEEE Computer Society},
booktitle = {27th International Conference on Computer Design, ICCD 2009, Lake Tahoe, CA, USA, October 4-7, 2009}
}
@article{journals/taco/KohWCL09,
title = {Tolerating process variations in large, set-associative caches: The buddy cache.},
year = {2009},
journal = {ACM Trans. Archit. Code Optim.},
author = {{Cheng-Kok Koh} and {Weng-Fai Wong} and {Yiran Chen 001} and {Hai Li 001}}
}
@article{journals/tvlsi/ChenLRK09,
title = {Gated Decap: Gate Leakage Control of On-Chip Decoupling Capacitors in Scaled Technologies.},
year = {2009},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Yiran Chen 001} and {Hai Li 001} and {Kaushik Roy 001} and {Cheng-Kok Koh}}
}
@inproceedings{conf/iccad/WangZKPW10,
title = {PEDS: Passivity enforcement for descriptor systems via Hamiltonian-symplectic matrix pencil perturbation.},
year = {2010},
booktitle = {ICCAD},
author = {{Yuanzhe Wang} and {Zheng Zhang 005} and {Cheng-Kok Koh} and {Grantham K. H. Pang} and {Ngai Wong}},
publisher = {IEEE},
booktitle = {2010 International Conference on Computer-Aided Design, ICCAD 2010, San Jose, CA, USA, November 7-11, 2010}
}
@inproceedings{conf/webi/SuHKK10,
title = {How to Improve Your Google Ranking: Myths and Reality.},
year = {2010},
booktitle = {Web Intelligence},
author = {{Ao-Jan Su} and {Y. Charlie Hu} and {Aleksandar Kuzmanovic} and {Cheng-Kok Koh}},
publisher = {IEEE Computer Society},
booktitle = {2010 IEEE/WIC/ACM International Conference on Web Intelligence, WI 2010, Toronto, Canada, August 31 - September 3, 2010, Main Conference Proceedings}
}
@article{journals/tcad/CauleyBK10,
title = {A Parallel Direct Solver for the Simulation of Large-Scale Power/Ground Networks.},
year = {2010},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Stephen Cauley} and {Venkataramanan Balakrishnan} and {Cheng-Kok Koh}}
}
@article{journals/tcad/LeeWKC10,
title = {Optimal Double Via Insertion With On-Track Preference.},
year = {2010},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Kuang-Yao Lee} and {Ting-Chi Wang} and {Cheng-Kok Koh} and {Kai-Yuan Chao}}
}
@article{journals/tvlsi/ChenLKSLXR10,
title = {Variable-Latency Adder (VL-Adder) Designs for Low Power and NBTI Tolerance.},
year = {2010},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Yiran Chen 001} and {Hai Li 001} and {Cheng-Kok Koh} and {Guangyu Sun 003} and {Jing Li 073} and {Yuan Xie 001} and {Kaushik Roy 001}}
}
@inproceedings{conf/aspdac/LinLWKC11,
title = {Simultaneous redundant via insertion and line end extension for yield optimization.},
year = {2011},
booktitle = {ASP-DAC},
author = {{Shing-Tung Lin} and {Kuang-Yao Lee} and {Ting-Chi Wang} and {Cheng-Kok Koh} and {Kai-Yuan Chao}},
publisher = {IEEE},
booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, ASP-DAC 2011, Yokohama, Japan, January 25-27, 2011}
}
@inproceedings{conf/islped/ChenWLK11,
title = {Processor caches with multi-level spin-transfer torque ram cells.},
year = {2011},
booktitle = {ISLPED},
author = {{Yiran Chen 001} and {Weng-Fai Wong} and {Hai Li 001} and {Cheng-Kok Koh}},
publisher = {IEEE/ACM},
booktitle = {Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011}
}
@inproceedings{conf/ispd/BujimallaK11,
title = {Synthesis of low power clock trees for handling power-supply variations.},
year = {2011},
booktitle = {ISPD},
author = {{Shashank Bujimalla} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Proceedings of the 2011 International Symposium on Physical Design, ISPD 2011, Santa Barbara, California, USA, March 27-30, 2011}
}
@inproceedings{conf/ispd/MittalK11,
title = {Cross link insertion for improving tolerance to variations in clock network synthesis.},
year = {2011},
booktitle = {ISPD},
author = {{Tarun Mittal} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Proceedings of the 2011 International Symposium on Physical Design, ISPD 2011, Santa Barbara, California, USA, March 27-30, 2011}
}
@article{journals/ijcta/ShenWLK11,
title = {Finite difference schemes for heat conduction analysis in integrated circuit design and manufacturing.},
year = {2011},
journal = {Int. J. Circuit Theory Appl.},
author = {{Yijiang Shen} and {Ngai Wong} and {Edmund Y. Lam} and {Cheng-Kok Koh}}
}
@article{journals/todaes/CauleyBHK11,
title = {A parallel branch-and-cut approach for detailed placement.},
year = {2011},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Stephen Cauley} and {Venkataramanan Balakrishnan} and {Y. Charlie Hu} and {Cheng-Kok Koh}}
}
@inproceedings{conf/iccad/LiuLK12,
title = {A fast maze-free routing congestion estimator with hybrid unilateral monotonic routing.},
year = {2012},
booktitle = {ICCAD},
author = {{Wen-Hao Liu} and {Yih-Lang Li} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {2012 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2012, San Jose, CA, USA, November 5-8, 2012}
}
@inproceedings{conf/ispd/LiK12,
title = {Mixed integer programming models for detailed placement.},
year = {2012},
booktitle = {ISPD},
author = {{Shuai Li} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {International Symposium on Physical Design, ISPD'12, Napa, CA, USA, March 25-28, 2012}
}
@inproceedings{conf/ispd/TsotaKB12,
title = {A size scaling approach for mixed-size placement.},
year = {2012},
booktitle = {ISPD},
author = {{Kalliopi Tsota} and {Cheng-Kok Koh} and {Venkataramanan Balakrishnan}},
publisher = {ACM},
booktitle = {International Symposium on Physical Design, ISPD'12, Napa, CA, USA, March 25-28, 2012}
}
@article{journals/jcphy/CauleyBKK12,
title = {A two-dimensional domain decomposition technique for the simulation of quantum-scale devices.},
year = {2012},
journal = {J. Comput. Phys.},
author = {{Stephen Cauley} and {Venkataramanan Balakrishnan} and {Gerhard Klimeck} and {Cheng-Kok Koh}}
}
@article{journals/tcad/HuK12,
title = {Guest Editorial Special Section on the 2011 International Symposium on Physical Design.},
year = {2012},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Jiang Hu} and {Cheng-Kok Koh}}
}
@article{journals/tcad/LeeCBKJ12,
title = {A Quadratic Eigenvalue Solver of Linear Complexity for 3-D Electromagnetics-Based Analysis of Large-Scale Integrated Circuits.},
year = {2012},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Jongwon Lee} and {Duo Chen} and {Venkataramanan Balakrishnan} and {Cheng-Kok Koh} and {Dan Jiao}}
}
@article{journals/tcad/WangZKSPW12,
title = {Passivity Enforcement for Descriptor Systems Via Matrix Pencil Perturbation.},
year = {2012},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Yuanzhe Wang} and {Zheng Zhang 005} and {Cheng-Kok Koh} and {Guoyong Shi} and {Grantham K. H. Pang} and {Ngai Wong}}
}
@inproceedings{conf/dac/LiuKL13,
title = {Optimization of placement solutions for routability.},
year = {2013},
booktitle = {DAC},
author = {{Wen-Hao Liu} and {Cheng-Kok Koh} and {Yih-Lang Li}},
publisher = {ACM},
booktitle = {The 50th Annual Design Automation Conference 2013, DAC '13, Austin, TX, USA, May 29 - June 07, 2013}
}
@inproceedings{conf/icra/ChanKL13,
title = {A 3D-point-cloud feature for human-pose estimation.},
year = {2013},
booktitle = {ICRA},
author = {{Kai-Chi Chan} and {Cheng-Kok Koh} and {C. S. George Lee}},
publisher = {IEEE},
booktitle = {2013 IEEE International Conference on Robotics and Automation, Karlsruhe, Germany, May 6-10, 2013}
}
@inproceedings{conf/iros/ChanKL13,
title = {Using action classification for human-pose estimation.},
year = {2013},
booktitle = {IROS},
author = {{Kai-Chi Chan} and {Cheng-Kok Koh} and {C. S. George Lee}},
publisher = {IEEE},
booktitle = {2013 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2013, Tokyo, Japan, November 3-7, 2013}
}
@inproceedings{conf/ispd/EwetzK13,
title = {Local merges for effective redundancy in clock networks.},
year = {2013},
booktitle = {ISPD},
author = {{Rickard Ewetz} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {International Symposium on Physical Design, ISPD'13, Stateline, NV, USA, March 24-27, 2013}
}
@inproceedings{conf/ispd/LiuKL13,
title = {Case study for placement solutions in ispd11 and dac12 routability-driven placement contests.},
year = {2013},
booktitle = {ISPD},
author = {{Wen-Hao Liu} and {Cheng-Kok Koh} and {Yih-Lang Li}},
publisher = {ACM},
booktitle = {International Symposium on Physical Design, ISPD'13, Stateline, NV, USA, March 24-27, 2013}
}
@inproceedings{conf/robio/ChanKL13,
title = {Collaborative object tracking with motion similarity measure.},
year = {2013},
booktitle = {ROBIO},
author = {{Kai-Chi Chan} and {Cheng-Kok Koh} and {C. S. George Lee}},
publisher = {IEEE},
booktitle = {IEEE International Conference on Robotics and Biomimetics, ROBIO 2013, Shenzhen, China, December 12-14, 2013}
}
@article{journals/jetc/ChenWLKZW13,
title = {On-chip caches built on multilevel spin-transfer torque RAM cells and its optimizations.},
year = {2013},
journal = {ACM J. Emerg. Technol. Comput. Syst.},
author = {{Yiran Chen 001} and {Weng-Fai Wong} and {Hai Li 001} and {Cheng-Kok Koh} and {Yaojun Zhang} and {Wujie Wen}}
}
@article{journals/mr/UdupaSK13,
title = {Analytical estimates of stress around a doubly periodic arrangement of through-silicon vias.},
year = {2013},
journal = {Microelectron. Reliab.},
author = {{Anirudh Udupa} and {Ganesh Subbarayan} and {Cheng-Kok Koh}}
}
@article{journals/tcad/HuK13,
title = {Guest editorial: Special section on cross-domain physical optimization.},
year = {2013},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Jiang Hu} and {Cheng-Kok Koh}}
}
@inproceedings{conf/aspdac/LiK14,
title = {Analytical placement of mixed-size circuits for better detailed-routability.},
year = {2014},
booktitle = {ASP-DAC},
author = {{Shuai Li} and {Cheng-Kok Koh}},
publisher = {IEEE},
booktitle = {19th Asia and South Pacific Design Automation Conference, ASP-DAC 2014, Singapore, January 20-23, 2014}
}
@inproceedings{conf/glvlsi/EwetzLCWK14,
title = {A study on the use of parallel wiring techniques for sub-20nm designs.},
year = {2014},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Rickard Ewetz} and {Wen-Hao Liu} and {Kai-Yuan Chao} and {Ting-Chi Wang} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21 - 23, 2014}
}
@inproceedings{conf/glvlsi/EwetzUSK14,
title = {A TSV-cross-link-based approach to 3D-clock network synthesis for improved robustness.},
year = {2014},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Rickard Ewetz} and {Anirudh Udupa} and {Ganesh Subbarayan} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21 - 23, 2014}
}
@inproceedings{conf/icra/ChanKL14,
title = {Selecting best viewpoint for human-pose estimation.},
year = {2014},
booktitle = {ICRA},
author = {{Kai-Chi Chan} and {Cheng-Kok Koh} and {C. S. George Lee}},
publisher = {IEEE},
booktitle = {2014 IEEE International Conference on Robotics and Automation, ICRA 2014, Hong Kong, China, May 31 - June 7, 2014}
}
@inproceedings{conf/ispd/LiK14,
title = {MIP-based detailed placer for mixed-size circuits.},
year = {2014},
booktitle = {ISPD},
author = {{Shuai Li} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {International Symposium on Physical Design, ISPD'14, Petaluma, CA, USA, March 30 - April 02, 2014}
}
@article{journals/tcad/KohS14,
title = {Guest Editorial Special Section on Contemporary and Emerging Issues in Physical Design.},
year = {2014},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Cheng-Kok Koh} and {Chin Ngai Sze}}
}
@article{journals/tsmc/ChanKL14,
title = {A 3-D-Point-Cloud System for Human-Pose Estimation.},
year = {2014},
journal = {IEEE Trans. Syst. Man Cybern. Syst.},
author = {{Kai-Chi Chan} and {Cheng-Kok Koh} and {C. S. George Lee}}
}
@article{journals/tweb/SuHKK14,
title = {How to Improve Your Search Engine Ranking: Myths and Reality.},
year = {2014},
journal = {ACM Trans. Web},
author = {{Ao-Jan Su} and {Y. Charlie Hu} and {Aleksandar Kuzmanovic} and {Cheng-Kok Koh}}
}
@inproceedings{conf/aspdac/EwetzJK15,
title = {Fast clock skew scheduling based on sparse-graph algorithms.},
year = {2015},
booktitle = {ASP-DAC},
author = {{Rickard Ewetz} and {Shankarshana Janarthanan} and {Cheng-Kok Koh}},
publisher = {IEEE},
booktitle = {The 20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015, Chiba, Japan, January 19-22, 2015}
}
@inproceedings{conf/dac/EwetzJK15,
title = {Construction of reconfigurable clock trees for MCMM designs.},
year = {2015},
booktitle = {DAC},
author = {{Rickard Ewetz} and {Shankarshana Janarthanan} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}
}
@inproceedings{conf/iros/ChanKL15,
title = {Human-pose estimation with neural-network realization.},
year = {2015},
booktitle = {IROS},
author = {{Kai-Chi Chan} and {Cheng-Kok Koh} and {C. S. George Lee}},
publisher = {IEEE},
booktitle = {2015 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2015, Hamburg, Germany, September 28 - October 2, 2015}
}
@inproceedings{conf/ispd/EwetzK15,
title = {A Useful Skew Tree Framework for Inserting Large Safety Margins.},
year = {2015},
booktitle = {ISPD},
author = {{Rickard Ewetz} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Proceedings of the 2015 Symposium on International Symposium on Physical Design, ISPD 2015, Monterey, CA, USA, March 29 - April 1, 2015}
}
@inproceedings{conf/usenix/GandhiHKLZ15,
title = {Rubik: Unlocking the Power of Locality and End-point Flexibility in Cloud Scale Load Balancing.},
year = {2015},
booktitle = {USENIX Annual Technical Conference},
author = {{Rohan Gandhi} and {Y. Charlie Hu} and {Cheng-Kok Koh} and {Hongqiang Harry Liu} and {Ming Zhang 005}},
publisher = {USENIX Association},
booktitle = {2015 USENIX Annual Technical Conference, USENIX ATC '15, July 8-10, Santa Clara, CA, USA}
}
@article{journals/tcad/EwetzK15,
title = {Cost-Effective Robustness in Clock Networks Using Near-Tree Structures.},
year = {2015},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Rickard Ewetz} and {Cheng-Kok Koh}}
}
@inproceedings{conf/aspdac/EwetzK16,
title = {MCMM clock tree optimization based on slack redistribution using a reduced slack graph.},
year = {2016},
booktitle = {ASP-DAC},
author = {{Rickard Ewetz} and {Cheng-Kok Koh}},
publisher = {IEEE},
booktitle = {21st Asia and South Pacific Design Automation Conference, ASP-DAC 2016, Macao, Macao, January 25-28, 2016}
}
@inproceedings{conf/ispd/EwetzTK16,
title = {Construction of Latency-Bounded Clock Trees.},
year = {2016},
booktitle = {ISPD},
author = {{Rickard Ewetz} and {Chuan Yean Tan} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Proceedings of the 2016 on International Symposium on Physical Design, ISPD 2016, Santa Rosa, CA, USA, April 3-6, 2016}
}
@article{journals/todaes/EwetzK16,
title = {Construction of Reconfigurable Clock Trees for MCMM Designs Using Mode Separation and Scenario Compression.},
year = {2016},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Rickard Ewetz} and {Cheng-Kok Koh}}
}
@article{journals/tsmc/ChanKL16,
title = {An Automatic Design of Factors in a Human-Pose Estimation System Using Neural Networks.},
year = {2016},
journal = {IEEE Trans. Syst. Man Cybern. Syst.},
author = {{Kai-Chi Chan} and {Cheng-Kok Koh} and {C. S. George Lee}}
}
@inproceedings{conf/aspdac/HanLEKCW17,
title = {Delay-driven layer assignment for advanced technology nodes.},
year = {2017},
booktitle = {ASP-DAC},
author = {{Szu-Yuan Han} and {Wen-Hao Liu} and {Rickard Ewetz} and {Cheng-Kok Koh} and {Kai-Yuan Chao} and {Ting-Chi Wang}},
publisher = {IEEE},
booktitle = {22nd Asia and South Pacific Design Automation Conference, ASP-DAC 2017, Chiba, Japan, January 16-19, 2017}
}
@inproceedings{conf/conext/JajooGHK17,
title = {Saath: Speeding up CoFlows by Exploiting the Spatial Dimension.},
year = {2017},
booktitle = {CoNEXT},
author = {{Akshay Jajoo} and {Rohan Gandhi} and {Y. Charlie Hu} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Proceedings of the 13th International Conference on emerging Networking EXperiments and Technologies, CoNEXT 2017, Incheon, Republic of Korea, December 12 - 15, 2017}
}
@inproceedings{conf/ispd/EwetzK17,
title = {Clock Tree Construction based on Arrival Time Constraints.},
year = {2017},
booktitle = {ISPD},
author = {{Rickard Ewetz} and {Cheng-Kok Koh}},
publisher = {ACM},
booktitle = {Proceedings of the 2017 ACM on International Symposium on Physical Design, ISDP 2017, Portland, OR, USA, March 19-22, 2017}
}
@article{journals/integration/EwetzK17,
title = {Fast clock scheduling and an application to clock tree synthesis.},
year = {2017},
journal = {Integr.},
author = {{Rickard Ewetz} and {Cheng-Kok Koh}}
}
@inproceedings{conf/aspdac/TanEK18,
title = {Clustering of flip-flops for useful-skew clock tree synthesis.},
year = {2018},
booktitle = {ASP-DAC},
author = {{Chuan Yean Tan} and {Rickard Ewetz} and {Cheng-Kok Koh}},
publisher = {IEEE},
booktitle = {23rd Asia and South Pacific Design Automation Conference, ASP-DAC 2018, Jeju, Korea (South), January 22-25, 2018}
}
@article{journals/tcad/EwetzK19,
title = {Scalable Construction of Clock Trees With Useful Skew and High Timing Quality.},
year = {2019},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Rickard Ewetz} and {Cheng-Kok Koh}}
}
@article{journals/tcad/MajiK21,
title = {A Scalable Buffer Queue Sizing Algorithm for Latency Insensitive Systems.},
year = {2021},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Supriyo Maji} and {Cheng-Kok Koh}}
}
@article{journals/tcad/MajiK22,
title = {A Scalable, Memory-Efficient Algorithm for Minimum Cycle Mean Calculation in Directed Graphs.},
year = {2022},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Supriyo Maji} and {Cheng-Kok Koh}}
}