% csauthors.net - beta - BibTeX bibliography of Dhiraj K. Pradhan
@article{journals/tc/PradhanR72,
title = {Error-Control Techniques for Logic Processors.},
year = {1972},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan} and {Sudhakar M. Reddy}}
}
@article{journals/tc/PradhanR73,
title = {Fault-Tolerant Asynchronous Networks.},
year = {1973},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan} and {Sudhakar M. Reddy}}
}
@article{journals/tc/Pradhan74,
title = {Fault-Tolerant Carry-Save Adders.},
year = {1974},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan}}
}
@article{journals/tc/PradhanR74,
title = {Design of Two-Level Fault-Tolerant Networks.},
year = {1974},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan} and {Sudhakar M. Reddy}}
}
@article{journals/tc/PradhanP75,
title = {Reed-Muller Like Canonic Forms for Multivalued Functions.},
year = {1975},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan} and {Arvind M. Patel}}
}
@article{journals/tc/PradhanR76,
title = {Techniques to Construct (2, 1) Separating Systems from Linear Error-Correcting Codes.},
year = {1976},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan} and {Sudhakar M. Reddy}}
}
@article{journals/isci/ChangP77,
title = {A graph-structural approach for the generalization of data management systems.},
year = {1977},
journal = {Inf. Sci.},
author = {{L. C. Chang} and {Dhiraj K. Pradhan}}
}
@article{journals/tc/HsiaoPP77,
title = {Store Address Generator with On-Line Fault-Detection Capability.},
year = {1977},
journal = {IEEE Trans. Computers},
author = {{M. Y. Hsiao} and {Arvind M. Patel} and {Dhiraj K. Pradhan}}
}
@article{journals/tc/Pradhan78,
title = {Fault-Tolerant Asynchronous Networks Using Read-Only Memories.},
year = {1978},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan}}
}
@article{journals/tc/Pradhan78a,
title = {Universal Test Sets for Multiple Fault Detection in AND-EXOR Arrays.},
year = {1978},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan}}
}
@article{journals/tc/Pradhan78b,
title = {A Theory of Galois Switching Functions.},
year = {1978},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan}}
}
@article{journals/tc/Pradhan78c,
title = {Asynchronous State Assignments with Unateness Properties and Fault-Secure Design.},
year = {1978},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan}}
}
@article{journals/computer/Pradhan80,
title = {Fault-Tolerant Computing.},
year = {1980},
journal = {Computer},
author = {{Dhiraj K. Pradhan}}
}
@article{journals/computer/PradhanS80,
title = {Error-Correcting Codes and Self-Checking Circuits.},
year = {1980},
journal = {Computer},
author = {{Dhiraj K. Pradhan} and {Jack J. Stiffler}}
}
@article{journals/tc/KodandapaniP80,
title = {Undetectability of Bridging Faults and Validity of Stuck-At Fault Test Sets.},
year = {1980},
journal = {IEEE Trans. Computers},
author = {{Kolar L. Kodandapani} and {Dhiraj K. Pradhan}}
}
@article{journals/tc/Pradhan80,
title = {A New Class of Error-Correcting/Detecting Codes for Fault-Tolerant Computer Applications.},
year = {1980},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan}}
}
@article{journals/tc/PradhanK80,
title = {A Uniform Representation of Single- and Multistage Interconnection Networks Used in SIMD Machines.},
year = {1980},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan} and {Kolar L. Kodandapani}}
}
@inproceedings{conf/itc/SonP81,
title = {Completely Self-Checking Checkers in PLAs.},
year = {1981},
booktitle = {ITC},
author = {{Kyushik Son} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings International Test Conference 1981, Philadelphia, PA, USA, October 1981}
}
@inproceedings{conf/icdcs/Pradhan82,
title = {On a Class of Fault-Tolerant Multiprocessor Network Architectures.},
year = {1982},
booktitle = {ICDCS},
author = {{Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = { Proceedings of the 3rd International Conference on Distributed Computing Systems, Miami/Ft. Lauderdale, Florida, USA, October 18-22, 1982}
}
@article{journals/tc/BoseP82,
title = {Optimal Unidirectional Error Detecting/Correcting Codes.},
year = {1982},
journal = {IEEE Trans. Computers},
author = {{Bella Bose} and {Dhiraj K. Pradhan}}
}
@article{journals/tc/PradhanR82,
title = {A Fault-Tolerant Communication Architecture for Distributed Systems.},
year = {1982},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan} and {Sudhakar M. Reddy}}
}
@article{journals/tc/Pradhan83,
title = {Sequential Network Design Using Extra Inputs for Fault Detection.},
year = {1983},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan}}
}
@inproceedings{conf/isca/Samatham84,
title = {A Multiprocessor Network Suitable for Single-Chip VLSI Implementation.},
year = {1984},
booktitle = {ISCA},
author = {{Maheswara R. Samatham} and {Dhiraj K. Pradhan}},
publisher = {ACM},
booktitle = {Proceedings of the 11th Annual Symposium on Computer Architecture, Ann Arbor, USA, June 1984}
}
@inproceedings{conf/isca/SamathamP85,
title = {The de Bruijn Multiprocessor Network: A Versatile Sorting Network.},
year = {1985},
booktitle = {ISCA},
author = {{Maheswara R. Samatham} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 12th Annual Symposium on Computer Architecture, Boston, MA, USA, June 1985}
}
@article{journals/tc/Paradhan85,
title = {Fault-Tolerant Multiprocessor Link and Bus Network Architectures.},
year = {1985},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan}}
}
@article{journals/tc/Pradhan85,
title = {Dynamically Restructurable Fault-Tolerant Processor Network Architectures.},
year = {1985},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan}}
}
@article{journals/pieee/KorenP86,
title = {Yield and performance enhancement through redundancy in VLSI and WSI multiprocessor systems.},
year = {1986},
journal = {Proc. IEEE},
author = {{Israel Koren} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/isca/CheungSSP87,
title = {Organization and Analysis of a Gracefully-Degrading Interleaved Memory System.},
year = {1987},
booktitle = {ISCA},
author = {{Kifung C. Cheung} and {Gurindar S. Sohi} and {Kewal K. Saluja} and {Dhiraj K. Pradhan}},
booktitle = {Proceedings of the 14th Annual International Symposium on Computer Architecture. Pittsburgh, PA, USA, June 1987}
}
@article{journals/tc/KorenP87,
title = {Modeling the Effect of Redundancy on Yield and Performance of VLSI Systems.},
year = {1987},
journal = {IEEE Trans. Computers},
author = {{Israel Koren} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/itc/GuptaP88,
title = {A New Framework for Designing and Analyzing BIST Techniques: Computation of Exact Aliasing Probability.},
year = {1988},
booktitle = {ITC},
author = {{Sandeep K. Gupta 001} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings International Test Conference 1988, Washington, D.C., USA, September 1988}
}
@inproceedings{conf/itc/PradhanK88,
title = {RTRAM: Reconfigurable and Testable Multi-Bit RAM Design.},
year = {1988},
booktitle = {ITC},
author = {{Dhiraj K. Pradhan} and {Nirmala R. Kamath}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings International Test Conference 1988, Washington, D.C., USA, September 1988}
}
@article{journals/tc/JarwalaP88,
title = {TRAM: A Design Methodology for High-Performance, Easily Testable, Multimegabit RAM's.},
year = {1988},
journal = {IEEE Trans. Computers},
author = {{Najmi T. Jarwala} and {Dhiraj K. Pradhan}}
}
@article{journals/tc/MeyerP88,
title = {Flip-Trees: Fault-Tolerant Graphs with Wide Containers.},
year = {1988},
journal = {IEEE Trans. Computers},
author = {{Fred J. Meyer} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/dimacs/Pradhan89,
title = {Fault-Tolerant VLSI Architectures Based on de Bruijn Graphs (Galileo in the Mid Nineties).},
year = {1989},
booktitle = {Reliability Of Computer And Communication Networks},
author = {{Dhiraj K. Pradhan}},
publisher = {DIMACS/AMS},
booktitle = {Reliability Of Computer And Communication Networks, Proceedings of a DIMACS Workshop, New Brunswick, New Jersey, USA, December 2-4, 1989}
}
@article{journals/sigarch/MendelsonPS89,
title = {A single cached copy data coherence scheme for multiprocessor systems.},
year = {1989},
journal = {SIGARCH Comput. Archit. News},
author = {{Abraham Mendelson} and {Dhiraj K. Pradhan} and {Adit D. Singh}}
}
@article{journals/tc/MeyerP89,
title = {Dynamic Testing Strategy for Distributed Systems.},
year = {1989},
journal = {IEEE Trans. Computers},
author = {{Fred J. Meyer} and {Dhiraj K. Pradhan}}
}
@article{journals/tc/MeyerP89a,
title = {Modeling Defect Spatial Distribution.},
year = {1989},
journal = {IEEE Trans. Computers},
author = {{Fred J. Meyer} and {Dhiraj K. Pradhan}}
}
@article{journals/tc/SamathamP89,
title = {The De Bruijn Multiprocessor Network: A Versatile Parallel Processing and Sorting Network for VLSI.},
year = {1989},
journal = {IEEE Trans. Computers},
author = {{Maheswara R. Samatham} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/asap/Pradhan90,
title = {Application specific VLSI architectures based on De Bruijn graphs.},
year = {1990},
booktitle = {ASAP},
author = {{Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {Application Specific Array Processors, ASAP 1990. Proceedings of the International Conference on, Princeton, NJ, USA, 5-7 Sept., 1990}
}
@inproceedings{conf/ftcs/GuptaPR90,
title = {Zero aliasing compression.},
year = {1990},
booktitle = {FTCS},
author = {{Sandeep K. Gupta 001} and {Dhiraj K. Pradhan} and {Sudhakar M. Reddy}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 20th International Symposium on Fault-Tolerant Computing, FTCS 1990, Newcastle Upon Tyne, UK, 26-28 June, 1990}
}
@inproceedings{conf/icpp/MendelsonTP90,
title = {Modeling of Live Lines and True Sharing in Multi-Cache Memory Systems.},
year = {1990},
booktitle = {ICPP (1)},
author = {{Abraham Mendelson} and {Dominique Thiébaut} and {Dhiraj K. Pradhan}},
publisher = {Pennsylvania State University Press},
booktitle = {Proceedings of the 1990 International Conference on Parallel Processing, Urbana-Champaign, IL, USA, August 1990. Volume 1: Architecture.}
}
@article{journals/computer/FujiwaraP90,
title = {Error-Control Coding in Computers.},
year = {1990},
journal = {Computer},
author = {{Eiji Fujiwara} and {Dhiraj K. Pradhan}}
}
@article{journals/tc/CheungSSP90,
title = {Design and Analysis of a Gracefully Degrading Interleaved Memory System.},
year = {1990},
journal = {IEEE Trans. Computers},
author = {{Kifung C. Cheung} and {Gurindar S. Sohi} and {Kewal K. Saluja} and {Dhiraj K. Pradhan}}
}
@article{journals/tc/PradhanGK90,
title = {Aliasing Probability for Multiple Input Signature Analyzer.},
year = {1990},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan} and {Sandeep K. Gupta 001} and {Mark G. Karpovsky}}
}
@inproceedings{conf/ftcs/BowenP91,
title = {Program Fault Tolerance Based on Memory Access Behavior.},
year = {1991},
booktitle = {FTCS},
author = {{Nicholas S. Bowen} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 1991 International Symposium on Fault-Tolerant Computing, Montreal, Canada}
}
@inproceedings{conf/ftcs/VaidyaP91,
title = {System Level Diagnosis: Combining Detection and Location.},
year = {1991},
booktitle = {FTCS},
author = {{Nitin H. Vaidya} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 1991 International Symposium on Fault-Tolerant Computing, Montreal, Canada}
}
@inproceedings{conf/icdcs/GanesanP91,
title = {The hyper-deBruijn multiprocessor networks.},
year = {1991},
booktitle = {ICDCS},
author = {{Elango Ganesan} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {10th International Conference on Distributed Computing Systems (ICDCS 1991), May 20-24, 1991, Arlington, Texas, USA}
}
@inproceedings{conf/itc/KarpovskyGP91,
title = {Aliasing and Diagnosis Probability in MISR and STUMPS Using a General Error Model.},
year = {1991},
booktitle = {ITC},
author = {{Mark G. Karpovsky} and {Sandeep K. Gupta 001} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings IEEE International Test Conference 1991, Test: Faster, Better, Sooner, Nashville, TN, USA, October 26-30, 1991}
}
@inproceedings{conf/sc/BowenP91,
title = {A virtual memory translation mechanism to support checkpoint and rollback recovery.},
year = {1991},
booktitle = {SC},
author = {{Nicholas S. Bowen} and {Dhiraj K. Pradhan}},
publisher = {ACM},
booktitle = {Proceedings Supercomputing '91, Albuquerque, NM, USA, November 18-22, 1991}
}
@inproceedings{conf/spdp/SuriMP91,
title = {BDG-torus union graph-an efficient algorithmically specializedparallel interconnect.},
year = {1991},
booktitle = {SPDP},
author = {{Neeraj Suri} and {Avi Mendelson} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing, SPDP 1991, 2-5 December 1991, Dallas, Texas, USA}
}
@article{journals/sigarch/MaaPT91,
title = {Two economical directory schemes for large-scale cache coherent multiprocessors.},
year = {1991},
journal = {SIGARCH Comput. Archit. News},
author = {{Yeong-Chang Maa} and {Dhiraj K. Pradhan} and {Dominique Thiébaut}}
}
@article{journals/tc/PradhanG91,
title = {A New Framework for Designing and Analyzing BIST Techniques and Zero Aliasing Compression.},
year = {1991},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan} and {Sandeep K. Gupta 001}}
}
@article{journals/tpds/MeyerP91,
title = {Consensus With Dual Failure Modes.},
year = {1991},
journal = {IEEE Trans. Parallel Distributed Syst.},
author = {{Fred J. Meyer} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/ipps/MaaPT92,
title = {A Hierarchical Directory Scheme for Large-Scale Cache-Coherent Multipmcessors.},
year = {1992},
booktitle = {IPPS},
author = {{Yeong-Chang Maa} and {Dhiraj K. Pradhan} and {Dominique Thiébaut}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 6th International Parallel Processing Symposium, Beverly Hills, CA, USA, March 1992}
}
@inproceedings{conf/itc/GuptaP92,
title = {Can Concurrent Checkers Help BIST?},
year = {1992},
booktitle = {ITC},
author = {{Sandeep K. Gupta 001} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings IEEE International Test Conference 1992, Discover the New World of Test and Design, Baltimore, Maryland, USA, September 20-24, 1992}
}
@inproceedings{conf/itc/KunzP92,
title = {Recursive Learning: An Attractive Alternative to the Decision Tree for Test Genration in Digital Circuits.},
year = {1992},
booktitle = {ITC},
author = {{Wolfgang Kunz} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings IEEE International Test Conference 1992, Discover the New World of Test and Design, Baltimore, Maryland, USA, September 20-24, 1992}
}
@inproceedings{conf/spdp/SharmaP92,
title = {A Novel Approach for Subcube Allocation in Hypercube Multiprocessors.},
year = {1992},
booktitle = {SPDP},
author = {{Debendra Das Sharma} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the Fourth IEEE Symposium on Parallel and Distributed Processing, SPDP 1992, Arlington, Texas, USA, December 1-4, 1992.}
}
@inproceedings{conf/vts/PradhanS92,
title = {A design for testability scheme to reduce test application time in full scan.},
year = {1992},
booktitle = {VTS},
author = {{Dhiraj K. Pradhan} and {Jayashree Saxena}},
publisher = {IEEE Computer Society},
booktitle = {10th IEEE VLSI Test Symposium (VTS'92), 7-9 Apr 1992, Atlantic City, NJ, USA}
}
@article{journals/tc/BowenP92,
title = {Virtual Checkpoints: Architecture and Performance.},
year = {1992},
journal = {IEEE Trans. Computers},
author = {{Nicholas S. Bowen} and {Dhiraj K. Pradhan}}
}
@article{journals/tit/VaidyaP92,
title = {A new class of bit- and byte-error control codes.},
year = {1992},
journal = {IEEE Trans. Inf. Theory},
author = {{Nitin H. Vaidya} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/asap/KarKP93,
title = {An application specific processor for implementing stack filters.},
year = {1993},
booktitle = {ASAP},
author = {{Barun K. Kar} and {R. C. K. Kumar} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {International Conference on Application-Specific Array Processors, ASAP 1993, Proceedings, Venice, Italy, 25-27 October, 1993}
}
@inproceedings{conf/hsaft/PradhanSV93,
title = {Roll-Forward Checkpointing Schemes.},
year = {1993},
booktitle = {Hardware and Software Architectures for Fault Tolerance},
author = {{Dhiraj K. Pradhan} and {Debendra Das Sharma} and {Nitin H. Vaidya}},
publisher = {Springer},
booktitle = {Hardware and Software Architectures for Fault Tolerance, Experiences and Perspecives [revised papers from a workshop at Le Mont Saint Michel, France, June 1993]}
}
@inproceedings{conf/iccad/PradhanCB93,
title = {Buffer assignment for data driven architectures.},
year = {1993},
booktitle = {ICCAD},
author = {{Dhiraj K. Pradhan} and {Mitrajit Chatterjee} and {Savita Banerjee}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}
}
@inproceedings{conf/iccd/SaxenaP93,
title = {Desgin for Testability of Asynchronous Sequential Circuits.},
year = {1993},
booktitle = {ICCD},
author = {{Jayashree Saxena} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, ICCD '93, Cambridge, MA, USA, October 3-6, 1993}
}
@inproceedings{conf/icdcs/VaidyaP93,
title = {Degradable Agreement in the Presence of Byzantine Faults.},
year = {1993},
booktitle = {ICDCS},
author = {{Nitin H. Vaidya} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 13th International Conference on Distributed Computing Systems, Pittsburgh, Pennsylvania, USA, May 25-28, 1993}
}
@inproceedings{conf/icpp/SharmaP93,
title = {Fast and Efficient Strategies for Cubic and Non-Cubic Allocation in Hypercube Multiprocessors.},
year = {1993},
booktitle = {ICPP (1)},
author = {{Debendra Das Sharma} and {Dhiraj K. Pradhan}},
publisher = {CRC Press},
booktitle = {Proceedings of the 1993 International Conference on Parallel Processing, Syracuse University, NY, USA, August 16-20, 1993. Volume I: Architecture.}
}
@inproceedings{conf/ipps/GanesanP93,
title = {Optimal Broadcasting in Binary de Bruijn Networks and Hyper-de Bruijn Networks.},
year = {1993},
booktitle = {IPPS},
author = {{Elango Ganesan} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {The Seventh International Parallel Processing Symposium, Proceedings, Newport Beach, California, USA, April 13-16, 1993.}
}
@inproceedings{conf/itc/SaxenaP93,
title = {A Method to Derive Compact Test Sets for Path Delay Faults in Combinational Circuits.},
year = {1993},
booktitle = {ITC},
author = {{Jayashree Saxena} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings IEEE International Test Conference 1993, Designing, Testing, and Diagnostics - Join Them, Baltimore, Maryland, USA, October 17-21, 1993}
}
@inproceedings{conf/spdp/KarP93,
title = {Scalability of Binary deBruijn Networks.},
year = {1993},
booktitle = {SPDP},
author = {{Barun K. Kar} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the Fifth IEEE Symposium on Parallel and Distributed Processing, SPDP 1993, Dallas, Texas, USA, December 2-5, 1993.}
}
@inproceedings{conf/spdp/SharmaP93,
title = {A Fast and Efficient Strategy for Submesh Allocation in Mesh-Connected Parallel Computers.},
year = {1993},
booktitle = {SPDP},
author = {{Debendra Das Sharma} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the Fifth IEEE Symposium on Parallel and Distributed Processing, SPDP 1993, Dallas, Texas, USA, December 2-5, 1993.}
}
@article{journals/computer/BowenP93,
title = {Processor- and Memory-Based Checkpoint and Rollback Recovery.},
year = {1993},
journal = {Computer},
author = {{Nicholas S. Bowen} and {Dhiraj K. Pradhan}}
}
@article{journals/networks/PradhanM93,
title = {Communication structures in fault-tolerant distributed systems.},
year = {1993},
journal = {Networks},
author = {{Dhiraj K. Pradhan} and {Fred J. Meyer}}
}
@article{journals/tc/MendelsonTP93,
title = {Modeling Live and Dead Lines in Cache Memory Systems.},
year = {1993},
journal = {IEEE Trans. Computers},
author = {{Abraham Mendelson} and {Dominique Thiébaut} and {Dhiraj K. Pradhan}}
}
@article{journals/tc/VaidyaP93,
title = {Fault-Tolerant Design Strategies for High Reliability and Safety.},
year = {1993},
journal = {IEEE Trans. Computers},
author = {{Nitin H. Vaidya} and {Dhiraj K. Pradhan}}
}
@article{journals/tcad/KunzP93,
title = {Accelerated dynamic learning for test pattern generation in combinational circuits.},
year = {1993},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Wolfgang Kunz} and {Dhiraj K. Pradhan}}
}
@article{journals/tpds/GanesanP93,
title = {The Hyper-deBruijn Networks: Scalable Versatile Architecture.},
year = {1993},
journal = {IEEE Trans. Parallel Distributed Syst.},
author = {{Elango Ganesan} and {Dhiraj K. Pradhan}}
}
@article{journals/tsp/KarP93,
title = {A new algorithm for order statistic and sorting.},
year = {1993},
journal = {IEEE Trans. Signal Process.},
author = {{Barun K. Kar} and {Dhiraj K. Pradhan}}
}
@article{journals/tvlsi/SharmaMP93,
title = {Yield optimization of modular and redundant multimegabit RAMs: a study of effectiveness of coding versus static redundancy using the center-satellite model.},
year = {1993},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{D. D. Sharma} and {Fred J. Meyer} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/eurodac/BanerjeeRCP94,
title = {Signal Transition Graph Transformations for Initializability.},
year = {1994},
booktitle = {EDAC-ETC-EUROASIC},
author = {{Savita Banerjee} and {Rabindra K. Roy} and {Srimat T. Chakradhar} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28 - March 3, 1994, Paris, France}
}
@inproceedings{conf/iccd/BanerjeeRCP94,
title = {Initialization Isuues in the Synthesis of Asynchronous Circuits.},
year = {1994},
booktitle = {ICCD},
author = {{Savita Banerjee} and {Rabindra K. Roy} and {Srimat T. Chakradhar} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, ICCD '94, Cambridge, MA, USA, October 10-12, 1994}
}
@inproceedings{conf/icpp/KrishnaVP94,
title = {Recovery in Multicomputers with Finite Error Detection Latency.},
year = {1994},
booktitle = {ICPP (2)},
author = {{P. Krishna} and {Nitin H. Vaidya} and {Dhiraj K. Pradhan}},
publisher = {CRC Press},
booktitle = {Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume II: Software.}
}
@inproceedings{conf/icpp/SharmaHP94,
title = {Subcube Level Time-Sharing in Hypercube Multicomputers.},
year = {1994},
booktitle = {ICPP (2)},
author = {{Debendra Das Sharma} and {G. D. Holland} and {Dhiraj K. Pradhan}},
publisher = {CRC Press},
booktitle = {Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume II: Software.}
}
@inproceedings{conf/iscas/KarYP94,
title = {Bit-Serial Generalized Median Filters.},
year = {1994},
booktitle = {ISCAS},
author = {{Barun K. Kar} and {Khadem M. Yusuf} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30 - June 2, 1994}
}
@inproceedings{conf/pdis/KrishnaVP94,
title = {Location Management in Distributed Mobile Environments.},
year = {1994},
booktitle = {PDIS},
author = {{P. Krishna} and {Nitin H. Vaidya} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the Third International Conference on Parallel and Distributed Information Systems (PDIS 94), Austin, Texas, USA, September 28-30, 1994}
}
@inproceedings{conf/vts/MukherjeeJP94,
title = {Functional learning: a new approach to learning in digital circuits.},
year = {1994},
booktitle = {VTS},
author = {{Rajarshi Mukherjee} and {Jawahar Jain} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {12th IEEE VLSI Test Symposium (VTS'94), April 25-28, 1994, Cherry Hill, New Jersey, USA}
}
@article{journals/tc/PradhanV94,
title = {Roll-Forward Checkpointing Scheme: A Novel Fault-Tolerant Architecture.},
year = {1994},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan} and {Nitin H. Vaidya}}
}
@article{journals/tc/VaidyaP94,
title = {Safe System Level Diagnosis.},
year = {1994},
journal = {IEEE Trans. Computers},
author = {{Nitin H. Vaidya} and {Dhiraj K. Pradhan}}
}
@article{journals/tcad/KunzP94,
title = {Recursive learning: a new implication technique for efficient solutions to CAD problems-test, verification, and optimization.},
year = {1994},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Wolfgang Kunz} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/dac/ReddyKP95,
title = {Novel Verification Framework Combining Structural and OBDD Methods in a Synthesis Environment.},
year = {1995},
booktitle = {DAC},
author = {{Subodh M. Reddy} and {Wolfgang Kunz} and {Dhiraj K. Pradhan}},
publisher = {ACM Press},
booktitle = {Proceedings of the 32st Conference on Design Automation, San Francisco, California, USA, Moscone Center, June 12-16, 1995.}
}
@inproceedings{conf/iccad/ChatterjeePK95,
title = {LOT: logic optimization with testability-new transformations using recursive learning.},
year = {1995},
booktitle = {ICCAD},
author = {{Mitrajit Chatterjee} and {Dhiraj K. Pradhan} and {Wolfgang Kunz}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1995, San Jose, California, USA, November 5-9, 1995}
}
@inproceedings{conf/mlics/KrishnaCVP95,
title = {A Cluster-based Approach for Routing in Ad-Hoc Networks.},
year = {1995},
booktitle = {Symposium on Mobile and Location-Independent Computing},
author = {{P. Krishna} and {Mainak Chatterjee} and {Nitin H. Vaidya} and {Dhiraj K. Pradhan}},
publisher = {USENIX},
booktitle = {Proceedings of the 2nd Symposium on Mobile and Location-Independent Computing (MLICS'95), Ann Arbor, MI, USA, 10-11 April 1995}
}
@inproceedings{conf/vts/ChatterjeeP95,
title = {A novel pattern generator for near-perfect fault-coverage.},
year = {1995},
booktitle = {VTS},
author = {{Mitrajit Chatterjee} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {13th IEEE VLSI Test Symposium (VTS'95), April 30 - May 3, 1995, Princeton, New Jersey, USA}
}
@article{journals/computer/ClarkP95,
title = {Fault Injection: A Method for Validating Computer-System Dependability.},
year = {1995},
journal = {Computer},
author = {{Jeffrey A. Clark} and {Dhiraj K. Pradhan}}
}
@article{journals/tc/BowenP95,
title = {A Fault Tolerant Hybrid Memory Structure and Memory Management Algorithms.},
year = {1995},
journal = {IEEE Trans. Computers},
author = {{Nicholas S. Bowen} and {Dhiraj K. Pradhan}}
}
@article{journals/tcad/PradhanS95,
title = {A novel scheme to reduce test application time in circuits with full scan.},
year = {1995},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Dhiraj K. Pradhan} and {Jayashree Saxena}}
}
@article{journals/tpds/SharmaP95,
title = {Processor Allocation in Hypercube Multicomputers: Fast and Efficient Strategies for Cubic and Noncubic Allocation.},
year = {1995},
journal = {IEEE Trans. Parallel Distributed Syst.},
author = {{Debendra Das Sharma} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/eusipco/KarCP96,
title = {BIT-based weighted mean filter.},
year = {1996},
booktitle = {EUSIPCO},
author = {{Barun K. Kar} and {Mitrajit Chatterjee} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {8th European Signal Processing Conference, EUSIPCO 1996, Trieste, Italy, 10-13 September, 1996}
}
@inproceedings{conf/ftcs/PradhanKV96,
title = {Recoverable Mobile Environment: Design and Trade-Off Analysis.},
year = {1996},
booktitle = {FTCS},
author = {{Dhiraj K. Pradhan} and {P. Krishna} and {Nitin H. Vaidya}},
publisher = {IEEE Computer Society},
booktitle = {Digest of Papers: FTCS-26, The Twenty-Sixth Annual International Symposium on Fault-Tolerant Computing, Sendai, Japan, June 25-27, 1996}
}
@inproceedings{conf/iccad/CaoP96,
title = {Sequential redundancy identification using recursive learning.},
year = {1996},
booktitle = {ICCAD},
author = {{Wanlin Cao} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1996, San Jose, CA, USA, November 10-14, 1996}
}
@inproceedings{conf/islped/PradhanCSK96,
title = {Gate-level synthesis for low-power using new transformations.},
year = {1996},
booktitle = {ISLPED},
author = {{Dhiraj K. Pradhan} and {Mitrajit Chatterjee} and {Madhu V. Swarna} and {Wolfgang Kunz}},
publisher = {IEEE},
booktitle = {Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996, Monterey, California, USA, August 12-14, 1996}
}
@inproceedings{conf/lcn/BakshiKPV96,
title = {Providing Seamless Communication in Mobile Wireless Networks.},
year = {1996},
booktitle = {LCN},
author = {{Bikram S. Bakshi} and {P. Krishna} and {Dhiraj K. Pradhan} and {Nitin H. Vaidya}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 21st Conference on Local Computer Networks, Minneapolis, Minnesota, USA, October 13-16, 1996}
}
@article{journals/comcom/DolevPW96,
title = {Modified tree structure for location management in mobile environments.},
year = {1996},
journal = {Comput. Commun.},
author = {{Shlomi Dolev} and {Dhiraj K. Pradhan} and {Jennifer L. Welch}}
}
@article{journals/comcom/KrishnaVP96,
title = {Static and adaptive location management in mobile wireless networks.},
year = {1996},
journal = {Comput. Commun.},
author = {{P. Krishna} and {Nitin H. Vaidya} and {Dhiraj K. Pradhan}}
}
@article{journals/jpdc/SharmaP96,
title = {Submesh Allocation in Mesh Multicomputers Using Busy-List: A BestFit Approach with Complete Recognition Capability.},
year = {1996},
journal = {J. Parallel Distributed Comput.},
author = {{Debendra Das Sharma} and {Dhiraj K. Pradhan}}
}
@article{journals/tc/BowenP96,
title = {The Effect of Program Behavior on Fault Observability.},
year = {1996},
journal = {IEEE Trans. Computers},
author = {{Nicholas S. Bowen} and {Dhiraj K. Pradhan}}
}
@article{journals/tc/GuptaP96,
title = {Utilization of On-Line (Concurrent) Checkers During Built-In-Self-Test and Vice Versa.},
year = {1996},
journal = {IEEE Trans. Computers},
author = {{Sandeep K. Gupta 001} and {Dhiraj K. Pradhan}}
}
@article{journals/tcad/KunzPR96,
title = {A novel framework for logic verification in a synthesis environment.},
year = {1996},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Wolfgang Kunz} and {Dhiraj K. Pradhan} and {Sudhakar M. Reddy}}
}
@article{journals/tvlsi/ChakradharBRP96,
title = {Synthesis of initializable asynchronous circuits.},
year = {1996},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Srimat T. Chakradhar} and {Savita Banerjee} and {Rabindra K. Roy} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/icdcs/BakshiKVP97,
title = {Improving Performance of TCP over Wireless Networks.},
year = {1997},
booktitle = {ICDCS},
author = {{Bikram S. Bakshi} and {P. Krishna} and {Nitin H. Vaidya} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 17th International Conference on Distributed Computing Systems, Baltimore, MD, USA, May 27-30, 1997}
}
@article{journals/ccr/KrishnaVCP97,
title = {A cluster-based approach for routing in dynamic networks.},
year = {1997},
journal = {Comput. Commun. Rev.},
author = {{P. Krishna} and {Nitin H. Vaidya} and {Mainak Chatterjee} and {Dhiraj K. Pradhan}}
}
@article{journals/tc/PradhanV97,
title = {Roll-Forward and Rollback Recovery: Performance-Reliability Trade-Off.},
year = {1997},
journal = {IEEE Trans. Computers},
author = {{Dhiraj K. Pradhan} and {Nitin H. Vaidya}}
}
@article{journals/tcad/ChatterjeePK98,
title = {LOT: Logic Optimization with Testability. New transformations for logic synthesis.},
year = {1998},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Mitrajit Chatterjee} and {Dhiraj K. Pradhan} and {Wolfgang Kunz}}
}
@article{journals/tpds/SharmaP98,
title = {Job Scheduling in Mesh Multicomputers.},
year = {1998},
journal = {IEEE Trans. Parallel Distributed Syst.},
author = {{Debendra Das Sharma} and {Dhiraj K. Pradhan}}
}
@article{journals/tcad/PradhanC99,
title = {GLFSR-a new test pattern generator for built-in-self-test.},
year = {1999},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Dhiraj K. Pradhan} and {Mitrajit Chatterjee}}
}
@article{journals/tc/ChatterjeeBP00,
title = {Buffer Assignment Algorithms on Data Driven ASICs.},
year = {2000},
journal = {IEEE Trans. Computers},
author = {{Mitrajit Chatterjee} and {Savita Banerjee} and {Dhiraj K. Pradhan}}
}
@article{journals/tcad/PaulCP00,
title = {VERILAT: verification using logic augmentation and transformations.},
year = {2000},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Debjyoti Paul} and {Mitrajit Chatterjee} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/iolts/Pradhan01,
title = {Logic Insertion to Speed-Up Logic Verification: A Recent Development.},
year = {2001},
booktitle = {IOLTW},
author = {{Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {7th IEEE International On-Line Testing Workshop (IOLTW 2001), 9-11 July 2001, Taormina, Italy}
}
@inproceedings{conf/vts/AbadirDNPV01,
title = {ATPG for Design Errors-Is It Possible?},
year = {2001},
booktitle = {VTS},
author = {{Magdy S. Abadir} and {Scott Davidson 001} and {Vijay Nagasamy} and {Dhiraj K. Pradhan} and {Prab Varma}},
publisher = {IEEE Computer Society},
booktitle = {19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA, USA}
}
@inproceedings{conf/date/PradhanLC03,
title = {EBIST: A Novel Test Generator with Built-In Fault Detection Capability.},
year = {2003},
booktitle = {DATE},
author = {{Dhiraj K. Pradhan} and {Chunsheng Liu} and {Krishnendu Chakrabarty}},
publisher = {IEEE Computer Society},
booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany}
}
@inproceedings{conf/hldvt/Pradhan03,
title = {Logic transformation and coding theory-based frameworks for Boolean satisfiability.},
year = {2003},
booktitle = {HLDVT},
author = {{Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Eighth IEEE International High-Level Design Validation and Test Workshop 2003, San Francisco, CA, USA, November 12-14, 2003}
}
@inproceedings{conf/hldvt/PradhanAC03,
title = {Mathematical framework for representing discrete functions as word-level polynomials.},
year = {2003},
booktitle = {HLDVT},
author = {{Dhiraj K. Pradhan} and {Serkan Askar} and {Maciej J. Ciesielski}},
publisher = {IEEE Computer Society},
booktitle = {Eighth IEEE International High-Level Design Validation and Test Workshop 2003, San Francisco, CA, USA, November 12-14, 2003}
}
@inproceedings{conf/iscas/GanesanP03,
title = {Wormhole routing in de Bruijn networks and hyper-de Bruijn networks.},
year = {2003},
booktitle = {ISCAS (3)},
author = {{Elango Ganesan} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003}
}
@article{journals/tc/ChatterjeeP03,
title = {A BIST Pattern Generator Design for Near-Perfect Fault Coverage.},
year = {2003},
journal = {IEEE Trans. Computers},
author = {{Mitrajit Chatterjee} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/aspdac/BhattacharjeeP04,
title = {LPRAM: a low power DRAM with testability.},
year = {2004},
booktitle = {ASP-DAC},
author = {{Subhasis Bhattacharjee} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}
}
@inproceedings{conf/date/JabirP04,
title = {MODD: A New Decision Diagram and Representation for Multiple Output Binary Functions.},
year = {2004},
booktitle = {DATE},
author = {{Abusaleh M. Jabir} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France}
}
@inproceedings{conf/hldvt/RajaprabhuSJP04,
title = {MODD for CF: a representation for fast evaluation of multiple-output functions.},
year = {2004},
booktitle = {HLDVT},
author = {{T. L. Rajaprabhu} and {Ashutosh Kumar Singh 001} and {Abusaleh M. Jabir} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Ninth IEEE International High-Level Design Validation and Test Workshop 2004, Sonoma Valley, CA, USA, November 10-12, 2004}
}
@inproceedings{conf/itc/LiuSCP04,
title = {Test Scheduling for Network-on-Chip with BIST and Precedence Constraints.},
year = {2004},
booktitle = {ITC},
author = {{Chunsheng Liu} and {Hamid Sharif} and {Érika F. Cota} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 2004 International Test Conference (ITC 2004), October 26-28, 2004, Charlotte, NC, USA}
}
@inproceedings{conf/sat/SubbarayanP04,
title = {NiVER: Non Increasing Variable Elimination Resolution for Preprocessing SAT instances.},
year = {2004},
booktitle = {SAT},
author = {{Sathiamoorthy Subbarayan} and {Dhiraj K. Pradhan}},
booktitle = {SAT 2004 - The Seventh International Conference on Theory and Applications of Satisfiability Testing, 10-13 May 2004, Vancouver, BC, Canada, Online Proceedings}
}
@article{journals/tcad/BhattacharjeeP04,
title = {LPRAM: a novel low-power high-performance RAM design with testability and scalability.},
year = {2004},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Subhasis Bhattacharjee} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/hldvt/PradhanSRJ05,
title = {GASIM: a fast Galois field based simulator for functional model.},
year = {2005},
booktitle = {HLDVT},
author = {{Dhiraj K. Pradhan} and {Ashutosh Kumar Singh 001} and {T. L. Rajaprabhu} and {Abusaleh M. Jabir}},
publisher = {IEEE Computer Society},
booktitle = {Tenth IEEE International High-Level Design Validation and Test Workshop 2005, Napa Valley, CA, USA, November 30 - December 2, 2005}
}
@inproceedings{conf/iolts/PradhanKG05,
title = {A Hamming Distance Based Test Pattern Generator with Improved Fault Coverage.},
year = {2005},
booktitle = {IOLTS},
author = {{Dhiraj K. Pradhan} and {Dimitri Kagaris} and {Rohit Gambhir}},
publisher = {IEEE Computer Society},
booktitle = {11th IEEE International On-Line Testing Symposium (IOLTS 2005), 6-8 July 2005, Saint Raphael, France}
}
@inproceedings{conf/itc/ChidambaramKP05,
title = {Comparative study of CA with phase shifters and GLFSRs.},
year = {2005},
booktitle = {ITC},
author = {{S. Chidambaram} and {Dimitrios Kagaris} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 2005 IEEE International Test Conference, ITC 2005, Austin, TX, USA, November 8-10, 2005}
}
@inproceedings{conf/vlsid/PradhanAV05,
title = {Recent Advances in Verification, Equivalence Checking and SAT-Solvers.},
year = {2005},
booktitle = {VLSI Design},
author = {{Dhiraj K. Pradhan} and {Magdy S. Abadir} and {Mauricio Varea}},
publisher = {IEEE Computer Society},
booktitle = {18th International Conference on VLSI Design (VLSI Design 2005), with the 4th International Conference on Embedded Systems Design, 3-7 January 2005, Kolkata, India}
}
@article{journals/tcad/PradhanL05,
title = {EBIST: a novel test generator with built-in fault detection capability.},
year = {2005},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Dhiraj K. Pradhan} and {Chunsheng Liu}}
}
@inproceedings{conf/date/LiuLP06,
title = {Reuse-based test access and integrated test scheduling for network-on-chip.},
year = {2006},
booktitle = {DATE},
author = {{Chunsheng Liu} and {Zach Link} and {Dhiraj K. Pradhan}},
publisher = {European Design and Automation Association, Leuven, Belgium},
booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006}
}
@inproceedings{conf/hldvt/RahamanMJP06,
title = {Easily Testable Implementation for Bit Parallel Multipliers in GF (2m).},
year = {2006},
booktitle = {HLDVT},
author = {{Hafizur Rahaman 001} and {Jimson Mathew} and {Abusaleh M. Jabir} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Eleventh Annual IEEE International High-Level Design Validation and Test Workshop 2006, Monterey, CA, USA, Nov 9-10, 2006}
}
@inproceedings{conf/iccad/JabirPM06,
title = {An efficient technique for synthesis and optimization of polynomials in GF(2m).},
year = {2006},
booktitle = {ICCAD},
author = {{Abusaleh M. Jabir} and {Dhiraj K. Pradhan} and {Jimson Mathew}},
publisher = {ACM},
booktitle = {2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006}
}
@inproceedings{conf/vts/LiuIP06,
title = {Thermal-Aware Testing of Network-on-Chip Using Multiple-Frequency Clocking.},
year = {2006},
booktitle = {VTS},
author = {{Chunsheng Liu} and {Vikram Iyengar} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {24th IEEE VLSI Test Symposium (VTS 2006), 30 April - 4 May 2006, Berkeley, California, USA}
}
@inproceedings{conf/cit/SinghMHP07,
title = {Single Event Upset Detection and Correction.},
year = {2007},
booktitle = {ICIT},
author = {{Jawar Singh} and {Jimson Mathew} and {Mohammad Hosseinabady} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {10th International Conference on Information Technology, ICIT 2007, Roukela, India, 17-20 December 2007}
}
@inproceedings{conf/dft/ArgyridesZP07,
title = {Matrix Codes: Multiple Bit Upsets Tolerant Method for SRAM Memories.},
year = {2007},
booktitle = {DFT},
author = {{Costas Argyrides} and {Hamid R. Zarandi} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 26-28 September 2007, Rome, Italy.}
}
@inproceedings{conf/hldvt/HosseinabadyKMP07,
title = {Reliable network-on-chip based on generalized de Bruijn graph.},
year = {2007},
booktitle = {HLDVT},
author = {{Mohammad Hosseinabady} and {Mohammad Reza Kakoee} and {Jimson Mathew} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {IEEE International High Level Design Validation and Test Workshop, HLDVT 2007, Irvine, CA, USA, November 7-9, 2007}
}
@inproceedings{conf/iolts/ArgyridesP07,
title = {Highly Reliable Power Aware Memory Design.},
year = {2007},
booktitle = {IOLTS},
author = {{Costas Argyrides} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {13th IEEE International On-Line Testing Symposium (IOLTS 2007), 8-11 July 2007, Heraklion, Crete, Greece}
}
@inproceedings{conf/iolts/MathewRP07,
title = {Efficient Testable Bit Parallel Multipliers over GF(2^m) with Constant Test set.},
year = {2007},
booktitle = {IOLTS},
author = {{Jimson Mathew} and {Hafizur Rahaman 001} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {13th IEEE International On-Line Testing Symposium (IOLTS 2007), 8-11 July 2007, Heraklion, Crete, Greece}
}
@inproceedings{conf/ipps/ZarandiMAP07,
title = {Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs.},
year = {2007},
booktitle = {IPDPS},
author = {{Hamid R. Zarandi} and {Seyed Ghassem Miremadi} and {Costas Argyrides} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA}
}
@inproceedings{conf/iscas/ArgyridesZP07,
title = {Multiple Upsets Tolerance in SRAM Memory.},
year = {2007},
booktitle = {ISCAS},
author = {{Costas Argyrides} and {Hamid R. Zarandi} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA}
}
@inproceedings{conf/iscas/StapenhurstMMNP07,
title = {On the Hardware Reduction of z-Datapath of Vectoring CORDIC.},
year = {2007},
booktitle = {ISCAS},
author = {{R. Stapenhurst} and {Koushik Maharatna} and {Jimson Mathew} and {José L. Núñez-Yáñez} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA}
}
@inproceedings{conf/iscas/ZarandiMAP07,
title = {CLB-based Detection and Correction of Bit-flip faults in SRAM-based FPGAs.},
year = {2007},
booktitle = {ISCAS},
author = {{Hamid R. Zarandi} and {Seyed Ghassem Miremadi} and {Costas Argyrides} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA}
}
@inproceedings{conf/iscas/ZarandiMPM07,
title = {Soft Error Mitigation in Switch Modules of SRAM-based FPGAs.},
year = {2007},
booktitle = {ISCAS},
author = {{Hamid R. Zarandi} and {Seyed Ghassem Miremadi} and {Dhiraj K. Pradhan} and {Jimson Mathew}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA}
}
@inproceedings{conf/iscas/ZarandiMPM07a,
title = {CAD-Directed SEU Susceptibility Reduction in FPGA Circuits Designs.},
year = {2007},
booktitle = {ISCAS},
author = {{Hamid R. Zarandi} and {Seyed Ghassem Miremadi} and {Dhiraj K. Pradhan} and {Jimson Mathew}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA}
}
@inproceedings{conf/isqed/RamsundarAP07,
title = {Defect Tolerance in Nanotechnology Switches Using a Greedy Reconfiguration Algorithm.},
year = {2007},
booktitle = {ISQED},
author = {{S. Ramsundar} and {Ahmad A. Al-Yamani} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA}
}
@inproceedings{conf/isqed/ZarandiMPM07,
title = {SEU-Mitigation Placement and Routing Algorithms and Their Impact in SRAM-Based FPGAs.},
year = {2007},
booktitle = {ISQED},
author = {{Hamid R. Zarandi} and {Seyed Ghassem Miremadi} and {Dhiraj K. Pradhan} and {Jimson Mathew}},
publisher = {IEEE Computer Society},
booktitle = {8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA}
}
@inproceedings{conf/sbcci/ArgyridesLCP07,
title = {A soft error robust and power aware memory design.},
year = {2007},
booktitle = {SBCCI},
author = {{Costas Argyrides} and {Carlos Arthur Lang Lisbôa} and {Luigi Carro} and {Dhiraj K. Pradhan}},
publisher = {ACM},
booktitle = {Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2007, Copacabana, Rio de Janeiro, Brazil, September 3-6, 2007}
}
@inproceedings{conf/socc/ArgyridesAP07,
title = {High defect tolerant low cost memory chips.},
year = {2007},
booktitle = {SoCC},
author = {{Costas Argyrides} and {Ahmad A. Al-Yamani} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {2007 IEEE International SOC Conference, Tampere, Finland, November 19-21, 2007}
}
@inproceedings{conf/socc/ArgyridesP07,
title = {Improved decoding algorithm for high reliable reed muller coding.},
year = {2007},
booktitle = {SoCC},
author = {{Costas Argyrides} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {2007 IEEE International SOC Conference, Tampere, Finland, November 19-21, 2007}
}
@inproceedings{conf/socc/JoseMMP07,
title = {A triple-mode feed-forward sigma-delta modulator design for GSM / WCDMA / WLAN applications.},
year = {2007},
booktitle = {SoCC},
author = {{Babita R. Jose} and {Jimson Mathew} and {P. Mythili} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {2007 IEEE International SOC Conference, Tampere, Finland, November 19-21, 2007}
}
@inproceedings{conf/vlsid/RahamanMP07,
title = {Constant Function Independent Test Set for Fault Detection in Bit Parallel Multipliers in GF(2^m).},
year = {2007},
booktitle = {VLSI Design},
author = {{Hafizur Rahaman 001} and {Jimson Mathew} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {20th International Conference on VLSI Design (VLSI Design 2007), Sixth International Conference on Embedded Systems (ICES 2007), 6-10 January 2007, Bangalore, India}
}
@inproceedings{conf/vts/RahamanMSP07,
title = {Transition Fault Testability in Bit Parallel Multipliers over GF(2^\{m\}).},
year = {2007},
booktitle = {VTS},
author = {{Hafizur Rahaman 001} and {Jimson Mathew} and {Biplab K. Sikdar} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {25th IEEE VLSI Test Symposium (VTS 2007), 6-10 May 2007, Berkeley, California, USA}
}
@article{journals/tc/JabirP07,
title = {A Graph-Based Unified Technique for Computing and Representing Coefficients over Finite Fields.},
year = {2007},
journal = {IEEE Trans. Computers},
author = {{Abusaleh M. Jabir} and {Dhiraj K. Pradhan}}
}
@article{journals/tc/JabirPRS07,
title = {A Technique for Representing Multiple Output Binary Functions with Applications to Verification and Simulation.},
year = {2007},
journal = {IEEE Trans. Computers},
author = {{Abusaleh M. Jabir} and {Dhiraj K. Pradhan} and {T. L. Rajaprabhu} and {Ashutosh Kumar Singh 001}}
}
@article{journals/tcas/Al-YamaniRP07,
title = {A Defect Tolerance Scheme for Nanotechnology Circuits.},
year = {2007},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Ahmad A. Al-Yamani} and {S. Ramsundar} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/cf/ArgyridesHP08,
title = {Yield improvement and power aware low cost memory chips.},
year = {2008},
booktitle = {WREFT@CF},
author = {{Costas Argyrides} and {Stephania Loizidou Himona} and {Dhiraj K. Pradhan}},
publisher = {ACM},
booktitle = {Proceedings of the 5th Conference on Computing Frontiers, 2008, Ischia, Italy, May 5-7, 2008}
}
@inproceedings{conf/dagstuhl/ArgyridesP08,
title = {Multiple Event Upsets Aware FPGAs Using Protected Schemes.},
year = {2008},
booktitle = {Fault-Tolerant Distributed Algorithms on VLSI Chips},
author = {{Costas Argyrides} and {Dhiraj K. Pradhan}},
publisher = {Schloss Dagstuhl - Leibniz-Zentrum für Informatik, Germany},
booktitle = {Fault-Tolerant Distributed Algorithms on VLSI Chips, 07.09. - 10.09.2008}
}
@inproceedings{conf/date/HosseinabadyKMP08,
title = {De Bruijn Graph as a Low Latency Scalable Architecture for Energy Efficient Massive NoCs.},
year = {2008},
booktitle = {DATE},
author = {{Mohammad Hosseinabady} and {Mohammad Reza Kakoee} and {Jimson Mathew} and {Dhiraj K. Pradhan}},
publisher = {ACM},
booktitle = {Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008}
}
@inproceedings{conf/iciis/Pradhan08,
title = {Application of Galois Fields to Logic Synthesis.},
year = {2008},
booktitle = {ICIIS},
author = {{Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {IEEE Reglon 10 Colloquium and Third International Conference on Industrial and Information Systems, ICIIS 2008, Kharagpur, India, December 8-10, 2008}
}
@inproceedings{conf/iolts/ArgyridesVMP08,
title = {Embedding Current Monitoring in H-Tree RAM Architecture for Multiple SEU Tolerance and Reliability Improvement.},
year = {2008},
booktitle = {IOLTS},
author = {{Costas Argyrides} and {Fabian Vargas 001} and {Marlon Moraes} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {14th IEEE International On-Line Testing Symposium (IOLTS 2008), 7-9 July 2008, Rhodes, Greece}
}
@inproceedings{conf/iolts/MathewJP08,
title = {Design Techniques for Bit-Parallel Galois Field Multipliers with On-Line Single Error Correction and Double Error Detection.},
year = {2008},
booktitle = {IOLTS},
author = {{Jimson Mathew} and {Abusaleh M. Jabir} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {14th IEEE International On-Line Testing Symposium (IOLTS 2008), 7-9 July 2008, Rhodes, Greece}
}
@inproceedings{conf/iolts/MathewSTP08,
title = {Fault Tolerant Reversible Finite Field Arithmetic Circuits.},
year = {2008},
booktitle = {IOLTS},
author = {{Jimson Mathew} and {Jawar Singh} and {Anas Abu Taleb} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {14th IEEE International On-Line Testing Symposium (IOLTS 2008), 7-9 July 2008, Rhodes, Greece}
}
@inproceedings{conf/iscas/MathewSJHP08,
title = {Fault tolerant bit parallel finite field multipliers using LDPC codes.},
year = {2008},
booktitle = {ISCAS},
author = {{Jimson Mathew} and {Jawar Singh} and {Abusaleh M. Jabir} and {Mohammad Hosseinabady} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, USA}
}
@inproceedings{conf/iscas/SinghMMP08,
title = {A nano-CMOS process variation induced read failure tolerant SRAM cell.},
year = {2008},
booktitle = {ISCAS},
author = {{Jawar Singh} and {Jimson Mathew} and {Saraju P. Mohanty} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, USA}
}
@inproceedings{conf/samos/ArgyridesLP08,
title = {Area Reliability Trade-Off in Improved Reed Muller Coding.},
year = {2008},
booktitle = {SAMOS},
author = {{Costas Argyrides} and {Stephania Loizidou} and {Dhiraj K. Pradhan}},
publisher = {Springer},
booktitle = {Embedded Computer Systems: Architectures, Modeling, and Simulation, 8th International Workshop, SAMOS 2008, Samos, Greece, July 21-24, 2008. Proceedings}
}
@inproceedings{conf/socc/SinghMPM08,
title = {A subthreshold single ended I/O SRAM cell design for nanometer CMOS technologies.},
year = {2008},
booktitle = {SoCC},
author = {{Jawar Singh} and {Jimson Mathew} and {Dhiraj K. Pradhan} and {Saraju P. Mohanty}},
publisher = {IEEE},
booktitle = {21st Annual IEEE International SoC Conference, SoCC 2008, September 17-20, 2008, Radisson Hotel, Newport Beach, CA, USA, Proceedings}
}
@inproceedings{conf/socc/SinghMPM08a,
title = {Failure analysis for ultra low power nano-CMOS SRAM under process variations.},
year = {2008},
booktitle = {SoCC},
author = {{Jawar Singh} and {Jimson Mathew} and {Dhiraj K. Pradhan} and {Saraju P. Mohanty}},
publisher = {IEEE},
booktitle = {21st Annual IEEE International SoC Conference, SoCC 2008, September 17-20, 2008, Radisson Hotel, Newport Beach, CA, USA, Proceedings}
}
@inproceedings{conf/socc/SuMSP08,
title = {Pseudo parallel architecture for AES with error correction.},
year = {2008},
booktitle = {SoCC},
author = {{Yi Xin Su} and {Jimson Mathew} and {Jawar Singh} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {21st Annual IEEE International SoC Conference, SoCC 2008, September 17-20, 2008, Radisson Hotel, Newport Beach, CA, USA, Proceedings}
}
@inproceedings{conf/tqc/CheungMMP08,
title = {On the Design and Optimization of a Quantum Polynomial-Time Attack on Elliptic Curve Cryptography.},
year = {2008},
booktitle = {TQC},
author = {{Donny Cheung} and {Dmitri Maslov} and {Jimson Mathew} and {Dhiraj K. Pradhan}},
publisher = {Springer},
booktitle = {Theory of Quantum Computation, Communication, and Cryptography, Third Workshop, TQC 2008, Tokyo, Japan, January 30 - February 1, 2008. Revised Selected Papers}
}
@inproceedings{conf/vlsid/MathewCJRP08,
title = {Single Error Correcting Finite Field Multipliers Over GF(2m).},
year = {2008},
booktitle = {VLSI Design},
author = {{Jimson Mathew} and {Costas Argyrides} and {Abusaleh M. Jabir} and {Hafizur Rahaman 001} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {21st International Conference on VLSI Design (VLSI Design 2008), 4-8 January 2008, Hyderabad, India}
}
@inproceedings{conf/vlsid/MathewRJP08,
title = {Design of Reversible Finite Field Arithmetic Circuits with Error Detection.},
year = {2008},
booktitle = {VLSI Design},
author = {{Jimson Mathew} and {Hafizur Rahaman 001} and {Babita R. Jose} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {21st International Conference on VLSI Design (VLSI Design 2008), 4-8 January 2008, Hyderabad, India}
}
@inproceedings{conf/vlsid/MathewRSJP08,
title = {A Galois Field Based Logic Synthesis Approach with Testability.},
year = {2008},
booktitle = {VLSI Design},
author = {{Jimson Mathew} and {Hafizur Rahaman 001} and {Ashutosh Kumar Singh 001} and {Abusaleh M. Jabir} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {21st International Conference on VLSI Design (VLSI Design 2008), 4-8 January 2008, Hyderabad, India}
}
@inproceedings{conf/vts/LisboaAPC08,
title = {Algorithm Level Fault Tolerance: A Technique to Cope with Long Duration Transient Faults in Matrix Multiplication Algorithms.},
year = {2008},
booktitle = {VTS},
author = {{Carlos Arthur Lang Lisbôa} and {Costas Argyrides} and {Dhiraj K. Pradhan} and {Luigi Carro}},
publisher = {IEEE Computer Society},
booktitle = {26th IEEE VLSI Test Symposium (VTS 2008), April 27 - May 1, 2008, San Diego, California, USA}
}
@article{journals/ieiceee/SinghPHM08,
title = {A single ended 6T SRAM cell design for ultra-low-voltage applications.},
year = {2008},
journal = {IEICE Electron. Express},
author = {{Jawar Singh} and {Dhiraj K. Pradhan} and {Simon Hollis} and {Saraju P. Mohanty}}
}
@article{journals/ieicet/ZhuLBP08,
title = {Formal Model for the Reduction of the Dynamic Energy Consumption in Multi-Layer Memory Subsystems.},
year = {2008},
journal = {IEICE Trans. Fundam. Electron. Commun. Comput. Sci.},
author = {{Hongwei Zhu 001} and {Ilie I. Luican} and {Florin Balasa} and {Dhiraj K. Pradhan}}
}
@article{journals/iet-cdt/MohantyKP08,
title = {Simultaneous scheduling and binding for low gate leakage nano-complementary metaloxide-semiconductor data path circuit behavioural synthesis.},
year = {2008},
journal = {IET Comput. Digit. Tech.},
author = {{Saraju P. Mohanty} and {Elias Kougianos} and {Dhiraj K. Pradhan}}
}
@article{journals/tc/RahamanMPJ08,
title = {Derivation of Reduced Test Vectors for Bit-Parallel Multipliers over GF(2^m).},
year = {2008},
journal = {IEEE Trans. Computers},
author = {{Hafizur Rahaman 001} and {Jimson Mathew} and {Dhiraj K. Pradhan} and {Abusaleh M. Jabir}}
}
@article{journals/tcad/JabirPM08,
title = {GfXpress: A Technique for Synthesis and Optimization of GF(2m) Polynomials.},
year = {2008},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Abusaleh M. Jabir} and {Dhiraj K. Pradhan} and {Jimson Mathew}}
}
@article{journals/tcad/KakadeKP08,
title = {Evaluation of Generalized LFSRs as Test Pattern Generators in Two-Dimensional Scan Designs.},
year = {2008},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Jayawant Kakade} and {Dimitrios Kagaris} and {Dhiraj K. Pradhan}}
}
@article{journals/todaes/RahamanMPJ08,
title = {C-testable bit parallel multipliers over GF(2m).},
year = {2008},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Hafizur Rahaman 001} and {Jimson Mathew} and {Dhiraj K. Pradhan} and {Abusaleh M. Jabir}}
}
@incollection{reference/wiley/JoshiPS08,
title = {Fault-Tolerant Computing.},
year = {2008},
booktitle = {Wiley Encyclopedia of Computer Science and Engineering},
author = {{Bharat Joshi} and {Dhiraj K. Pradhan} and {Jack J. Stiffler}},
publisher = {John Wiley & Sons, Inc.},
booktitle = {Wiley Encyclopedia of Computer Science and Engineering}
}
@inproceedings{conf/date/SinghPHMM09,
title = {Single ended 6T SRAM with isolated read-port for low-power embedded systems.},
year = {2009},
booktitle = {DATE},
author = {{Jawar Singh} and {Dhiraj K. Pradhan} and {Simon Hollis} and {Saraju P. Mohanty} and {Jimson Mathew}},
publisher = {IEEE},
booktitle = {Design, Automation and Test in Europe, DATE 2009, Nice, France, April 20-24, 2009}
}
@inproceedings{conf/iolts/ArgyridesLPC09,
title = {A fast error correction technique for matrix multiplication algorithms.},
year = {2009},
booktitle = {IOLTS},
author = {{Costas Argyrides} and {Carlos Arthur Lang Lisbôa} and {Dhiraj K. Pradhan} and {Luigi Carro}},
publisher = {IEEE Computer Society},
booktitle = {15th IEEE International On-Line Testing Symposium (IOLTS 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}
}
@inproceedings{conf/iolts/RahamanMJP09,
title = {C-testable S-box implementation for secure advanced encryption standard.},
year = {2009},
booktitle = {IOLTS},
author = {{Hafizur Rahaman 001} and {Jimson Mathew} and {Abusaleh M. Jabir} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {15th IEEE International On-Line Testing Symposium (IOLTS 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}
}
@inproceedings{conf/isqed/ArgyridesALCP09,
title = {Increasing memory yield in future technologies through innovative design.},
year = {2009},
booktitle = {ISQED},
author = {{Costas Argyrides} and {Ahmad A. Al-Yamani} and {Carlos Arthur Lang Lisbôa} and {Luigi Carro} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {10th International Symposium on Quality of Electronic Design (ISQED 2009), 16-18 March 2009, San Jose, CA, USA}
}
@inproceedings{conf/latw/ArgyridesLPC09,
title = {Single element correction in sorting algorithms with minimum delay overhead.},
year = {2009},
booktitle = {LATW},
author = {{Costas Argyrides} and {Carlos Arthur Lang Lisbôa} and {Dhiraj K. Pradhan} and {Luigi Carro}},
publisher = {IEEE},
booktitle = {10th Latin American Test Workshop, LATW 2009, Rio de Janeiro, Brazil, March 2-5, 2009}
}
@inproceedings{conf/sbcci/ArgyridesDPLC09,
title = {Reliability aware yield improvement technique for nanotechnology based circuits.},
year = {2009},
booktitle = {SBCCI},
author = {{Costas Argyrides} and {Giorgos Dimosthenous} and {Dhiraj K. Pradhan} and {Carlos Arthur Lang Lisbôa} and {Luigi Carro}},
publisher = {ACM},
booktitle = {Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, SBCCI 2009, Natal, Brazil, August 31 - September 3, 2009}
}
@inproceedings{conf/vlsid/SinghMMP09,
title = {Single Ended Static Random Access Memory for Low-Vdd, High-Speed Embedded Systems.},
year = {2009},
booktitle = {VLSI Design},
author = {{Jawar Singh} and {Jimson Mathew} and {Saraju P. Mohanty} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {VLSI Design 2009: Improving Productivity through Higher Abstraction, The 22nd International Conference on VLSI Design, New Delhi, India, 5-9 January 2009}
}
@article{journals/iet-cdt/MathewJRP09,
title = {Single error correctable bit parallel multipliers over GF(2m).},
year = {2009},
journal = {IET Comput. Digit. Tech.},
author = {{Jimson Mathew} and {Abusaleh M. Jabir} and {Hafizur Rahaman 001} and {Dhiraj K. Pradhan}}
}
@article{journals/qic/MaslovMCP09,
title = {An O(m2)-depth quantum algorithm for the elliptic curve discrete logarithm problem over GF(2m)a.},
year = {2009},
journal = {Quantum Inf. Comput.},
author = {{Dmitri Maslov} and {Jimson Mathew} and {Donny Cheung} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/aspdac/SinghRMDVP10,
title = {A novel si-tunnel FET based SRAM design for ultra low-power 0.3V VDD applications.},
year = {2010},
booktitle = {ASP-DAC},
author = {{Jawar Singh} and {Krishnan Ramakrishnan} and {Saurabh Mookerjea} and {Suman Datta} and {Narayanan Vijaykrishnan} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, ASP-DAC 2010, Taipei, Taiwan, January 18-21, 2010}
}
@inproceedings{conf/date/RickettsSRVP10,
title = {Investigating the impact of NBTI on different power saving cache strategies.},
year = {2010},
booktitle = {DATE},
author = {{Andrew J. Ricketts} and {Jawar Singh} and {Krishnan Ramakrishnan} and {Narayanan Vijaykrishnan} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010}
}
@inproceedings{conf/ewdts/MavrogiannakisAP10,
title = {Improving reliability for bit parallel finite field multipliers using Decimal Hamming.},
year = {2010},
booktitle = {EWDTS},
author = {{Nikolaos Mavrogiannakis} and {Costas Argyrides} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {2010 East-West Design & Test Symposium, EWDTS 2010, St. Petersburg, Russia, September 17-20, 2010}
}
@inproceedings{conf/glvlsi/ThakralMGP10,
title = {A DOE-ILP assisted conjugate-gradient based power and stability optimization in High-K Nano-CMOS SRAM.},
year = {2010},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Garima Thakral} and {Saraju P. Mohanty} and {Dhruva Ghai} and {Dhiraj K. Pradhan}},
publisher = {ACM},
booktitle = {Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, Providence, Rhode Island, USA, May 16-18 2010}
}
@inproceedings{conf/isqed/BanerjeeMPM10,
title = {Layout-aware Illinois Scan design for high fault coverage coverage.},
year = {2010},
booktitle = {ISQED},
author = {{Savita Banerjee} and {Jimson Mathew} and {Dhiraj K. Pradhan} and {Saraju P. Mohanty}},
publisher = {IEEE},
booktitle = {11th International Symposium on Quality of Electronic Design (ISQED 2010), 22-24 March 2010, San Jose, CA, USA}
}
@inproceedings{conf/isqed/MathewRJMP10,
title = {On the design of different concurrent EDC schemes for S-Box and GF(p).},
year = {2010},
booktitle = {ISQED},
author = {{Jimson Mathew} and {Hafizur Rahaman 001} and {Abusaleh M. Jabir} and {Saraju P. Mohanty} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {11th International Symposium on Quality of Electronic Design (ISQED 2010), 22-24 March 2010, San Jose, CA, USA}
}
@inproceedings{conf/isqed/SinghAMP10,
title = {A 2-port 6T SRAM bitcell design with multi-port capabilities at reduced area overhead.},
year = {2010},
booktitle = {ISQED},
author = {{Jawar Singh} and {Dilip S. Aswar} and {Saraju P. Mohanty} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {11th International Symposium on Quality of Electronic Design (ISQED 2010), 22-24 March 2010, San Jose, CA, USA}
}
@inproceedings{conf/isqed/ThakralMGP10,
title = {P3 (power-performance-process) optimization of nano-CMOS SRAMusing statistical DOE-ILP.},
year = {2010},
booktitle = {ISQED},
author = {{Garima Thakral} and {Saraju P. Mohanty} and {Dhruva Ghai} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {11th International Symposium on Quality of Electronic Design (ISQED 2010), 22-24 March 2010, San Jose, CA, USA}
}
@inproceedings{conf/isvlsi/ArgyridesMP10,
title = {Improved Yield in Nanotechnology Circuits Using Non-square Meshes.},
year = {2010},
booktitle = {ISVLSI},
author = {{Costas Argyrides} and {Nikolaos Mavrogiannakis} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2010, 5-7 July 2010, Lixouri Kefalonia, Greece}
}
@inproceedings{conf/latw/TarrilloLCAP10,
title = {Evaluation of a new low cost software level fault tolerance technique to cope with soft errors.},
year = {2010},
booktitle = {LATW},
author = {{Jimmy Tarrillo} and {Carlos Arthur Lang Lisbôa} and {Luigi Carro} and {Costas Argyrides} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {11th Latin American Test Workshop, LATW 2010, Punta del Este, Uruguay, March 28-30, 2010}
}
@inproceedings{conf/percom/TalebMP10,
title = {Fault diagnosis in multi layered De Bruijn based architectures for sensor networks.},
year = {2010},
booktitle = {PerCom Workshops},
author = {{Anas Abu Taleb} and {Jimson Mathew} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {Eigth Annual IEEE International Conference on Pervasive Computing and Communications, PerCom 2010, March 29 - April 2, 2010, Mannheim, Germany, Workshop Proceedings}
}
@inproceedings{conf/vlsi/MathewBRPMJ10,
title = {On the synthesis of attack tolerant cryptographic hardware.},
year = {2010},
booktitle = {VLSI-SoC},
author = {{Jimson Mathew} and {Savita Banerjee} and {Hafizur Rahaman 001} and {Dhiraj K. Pradhan} and {Saraju P. Mohanty} and {Abusaleh M. Jabir}},
publisher = {IEEE},
booktitle = {18th IEEE/IFIP VLSI-SoC 2010, IEEE/IFIP WG 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Madrid, Spain, 27-29 September 2010}
}
@inproceedings{conf/vlsid/ThakralMGP10,
title = {A Combined DOE-ILP Based Power and Read Stability Optimization in Nano-CMOS SRAM.},
year = {2010},
booktitle = {VLSI Design},
author = {{Garima Thakral} and {Saraju P. Mohanty} and {Dhruva Ghai} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {VLSI Design 2010: 23rd International Conference on VLSI Design, 9th International Conference on Embedded Systems, Bangalore, India, 3-7 January 2010}
}
@inproceedings{conf/wimo/TalebMP10,
title = {Clustered De Bruijn Based Multi Layered Architectures for Sensor Networks.},
year = {2010},
booktitle = {WiMo},
author = {{Anas Abu Taleb} and {Jimson Mathew} and {Dhiraj K. Pradhan}},
booktitle = {Recent Trends in Wireless and Mobile Networks - Second International Conference, WiMo 2010, Ankara, Turkey, June 26-28, 2010. Proceedings}
}
@article{journals/cj/RahamanMP10,
title = {Secure Testable S-box Architecture for Cryptographic Hardware Implementation.},
year = {2010},
journal = {Comput. J.},
author = {{Hafizur Rahaman 001} and {Jimson Mathew} and {Dhiraj K. Pradhan}}
}
@article{journals/iet-cdt/MathewJSRP10,
title = {A Galois field-based logic synthesis with testability.},
year = {2010},
journal = {IET Comput. Digit. Tech.},
author = {{Jimson Mathew} and {Abusaleh M. Jabir} and {Ashutosh Kumar Singh 001} and {Hafizur Rahaman 001} and {Dhiraj K. Pradhan}}
}
@article{journals/iet-cdt/RahamanMJP10,
title = {Simplified bit parallel systolic multipliers for special class of galois field (2m) with testability.},
year = {2010},
journal = {IET Comput. Digit. Tech.},
author = {{Hafizur Rahaman 001} and {Jimson Mathew} and {Abusaleh M. Jabir} and {Dhiraj K. Pradhan}}
}
@article{journals/jetc/KocakP10,
title = {Introduction to design techniques for energy harvesting.},
year = {2010},
journal = {ACM J. Emerg. Technol. Comput. Syst.},
author = {{Taskin Koçak} and {Dhiraj K. Pradhan}}
}
@article{journals/jetc/MohantyP10,
title = {ULS: A dual-Vth/high-kappa nano-CMOS universal level shifter for system-level power management.},
year = {2010},
journal = {ACM J. Emerg. Technol. Comput. Syst.},
author = {{Saraju P. Mohanty} and {Dhiraj K. Pradhan}}
}
@article{journals/jolpe/ThakralMPK10,
title = {DOE-ILP Based Simultaneous Power and Read Stability Optimization in Nano-CMOS SRAM.},
year = {2010},
journal = {J. Low Power Electron.},
author = {{Garima Thakral} and {Saraju P. Mohanty} and {Dhiraj K. Pradhan} and {Elias Kougianos}}
}
@article{journals/tvlsi/RahamanMP10,
title = {Test Generation in Systolic Architecture for Multiplication Over GF(2 m).},
year = {2010},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Hafizur Rahaman 001} and {Jimson Mathew} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/ecctd/PoolakkaparambilMJP11,
title = {A dynamically error correctable bit parallel Montgomery multiplier over binary extension fields.},
year = {2011},
booktitle = {ECCTD},
author = {{Mahesh Poolakkaparambil} and {Jimson Mathew} and {Abusaleh M. Jabir} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {20th European Conference on Circuit Theory and Design, ECCTD 2011, Linkoping, Sweden, Aug. 29-31, 2011}
}
@inproceedings{conf/ised/HosseinabadyLMM11,
title = {Single-Event Transient Analysis in High Speed Circuits.},
year = {2011},
booktitle = {ISED},
author = {{Mohammad Hosseinabady} and {Pejman Lotfi-Kamran} and {Jimson Mathew} and {Saraju P. Mohanty} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {International Symposium on Electronic System Design, ISED 2011, Kochi, Kerala, India, December 19-21, 2011}
}
@inproceedings{conf/ised/SunMPM11,
title = {Statistical Blockade Method for Fast Robustness Estimation and Compensation of Nano-CMOS Arithmetic Circuits.},
year = {2011},
booktitle = {ISED},
author = {{Luo Sun} and {Jimson Mathew} and {Dhiraj K. Pradhan} and {Saraju P. Mohanty}},
publisher = {IEEE Computer Society},
booktitle = {International Symposium on Electronic System Design, ISED 2011, Kochi, Kerala, India, December 19-21, 2011}
}
@inproceedings{conf/isqed/PoolakkaparambilMJPM11,
title = {BCH code based multiple bit error correction in finite field multiplier circuits.},
year = {2011},
booktitle = {ISQED},
author = {{Mahesh Poolakkaparambil} and {Jimson Mathew} and {Abusaleh M. Jabir} and {Dhiraj K. Pradhan} and {Saraju P. Mohanty}},
publisher = {IEEE},
booktitle = {Proceedings of the 12th International Symposium on Quality Electronic Design, ISQED 2011, Santa Clara, California, USA, 14-16 March 2011}
}
@inproceedings{conf/vlsid/BanerjeeMPMC11,
title = {Variation-Aware TED-Based Approach for Nano-CMOS RTL Leakage Optimization.},
year = {2011},
booktitle = {VLSI Design},
author = {{Shibaji Banerjee} and {Jimson Mathew} and {Dhiraj K. Pradhan} and {Saraju P. Mohanty} and {Maciej J. Ciesielski}},
publisher = {IEEE Computer Society},
booktitle = {VLSI Design 2011: 24th International Conference on VLSI Design, IIT Madras, Chennai, India, 2-7 January 2011}
}
@article{journals/cssp/MathewMJRP11,
title = {Pseudo-Parallel Datapath Structure for Power Optimal Implementation of 128-pt FFT/IFFT for WPAN.},
year = {2011},
journal = {Circuits Syst. Signal Process.},
author = {{Jimson Mathew} and {Koushik Maharatna} and {Babita R. Jose} and {Hafizur Rahaman 001} and {Dhiraj K. Pradhan}}
}
@article{journals/et/MaestroRAP11,
title = {Fault Tolerant Single Error Correction Encoders.},
year = {2011},
journal = {J. Electron. Test.},
author = {{Juan Antonio Maestro} and {Pedro Reviriego} and {Costas Argyrides} and {Dhiraj K. Pradhan}}
}
@article{journals/ijsnet/MishraMP11,
title = {Fault-tolerant de-Bruijn graph based multipurpose architecture and routing protocol for wireless sensor networks.},
year = {2011},
journal = {Int. J. Sens. Networks},
author = {{Vishram Mishra} and {Jimson Mathew} and {Dhiraj K. Pradhan}}
}
@article{journals/jolpe/BanerjeeMMPC11,
title = {A Variation-Aware Taylor Expansion Diagram-Based Approach for Nano-CMOS Register-Transfer Level Leakage Optimization.},
year = {2011},
journal = {J. Low Power Electron.},
author = {{Shibaji Banerjee} and {Jimson Mathew} and {Saraju P. Mohanty} and {Dhiraj K. Pradhan} and {Maciej J. Ciesielski}}
}
@article{journals/tr/ArgyridesCVP11,
title = {Reliability Analysis of H-Tree Random Access Memories Implemented With Built in Current Sensors and Parity Codes for Multiple Bit Upset Correction.},
year = {2011},
journal = {IEEE Trans. Reliab.},
author = {{Costas Argyrides} and {Raul Chipana} and {Fabian Vargas 001} and {Dhiraj K. Pradhan}}
}
@article{journals/tvlsi/ArgyridesPK11,
title = {Matrix Codes for Reliable and Cost Efficient Memory Chips.},
year = {2011},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Costas Argyrides} and {Dhiraj K. Pradhan} and {Taskin Koçak}}
}
@article{journals/tvlsi/BanerjeeMPBM11,
title = {A Routing-Aware ILS Design Technique.},
year = {2011},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Shibaji Banerjee} and {Jimson Mathew} and {Dhiraj K. Pradhan} and {Bhargab B. Bhattacharya} and {Saraju P. Mohanty}}
}
@article{journals/tvlsi/HosseinabadyKMP11,
title = {Low Latency and Energy Efficient Scalable Architecture for Massive NoCs Using Generalized de Bruijn Graph.},
year = {2011},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Mohammad Hosseinabady} and {Mohammad Reza Kakoee} and {Jimson Mathew} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/dsd/SourdisSBFGMMPPRST12,
title = {The DeSyRe Project: On-Demand System Reliability.},
year = {2012},
booktitle = {DSD},
author = {{Ioannis Sourdis} and {Christos Strydis} and {Christos-Savvas Bouganis} and {Babak Falsafi} and {Georgi Nedeltchev Gaydadjiev} and {Alirad Malek} and {R. Mariani} and {Dionisios N. Pnevmatikatos} and {Dhiraj K. Pradhan} and {Gerard K. Rauwerda} and {Kim Sunesen} and {Stavros Tzilis}},
publisher = {IEEE Computer Society},
booktitle = {15th Euromicro Conference on Digital System Design, DSD 2012, Cesme, Izmir, Turkey, September 5-8, 2012}
}
@inproceedings{conf/glvlsi/YeolekarSMPM12,
title = {STEP: a unified design methodology for secure test and IP core protection.},
year = {2012},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Pranav Yeolekar} and {Rishad A. Shafik} and {Jimson Mathew} and {Dhiraj K. Pradhan} and {Saraju P. Mohanty}},
publisher = {ACM},
booktitle = {Great Lakes Symposium on VLSI 2012, GLSVLSI'12, Salt Lake City, UT, USA, May 3-4, 2012}
}
@inproceedings{conf/ised/GaladanciSMAP12,
title = {A Closed-Loop Control Strategy for Glucose Control in Artificial Pancreas Systems.},
year = {2012},
booktitle = {ISED},
author = {{Jamil Galadanci} and {Rishad A. Shafik} and {Jimson Mathew} and {Amit Acharyya} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {International Symposium on Electronic System Design, ISEDs 2012, Kolkata, India, December 19-22, 2012}
}
@inproceedings{conf/isvlsi/ShafikAMPM12,
title = {RAEF: A Power Normalized System-Level Reliability Analysis and Estimation Framework.},
year = {2012},
booktitle = {ISVLSI},
author = {{Rishad A. Shafik} and {Bashir M. Al-Hashimi} and {Jimson Mathew} and {Dhiraj K. Pradhan} and {Saraju P. Mohanty}},
publisher = {IEEE Computer Society},
booktitle = {IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2012, Amherst, MA, USA, August 19-21, 2012}
}
@inproceedings{conf/vdat/RahamanMJP12,
title = {VLSI Architecture for Bit Parallel Systolic Multipliers for Special Class of GF(2 m )Using Dual Bases.},
year = {2012},
booktitle = {VDAT},
author = {{Hafizur Rahaman 001} and {Jimson Mathew} and {Abusaleh M. Jabir} and {Dhiraj K. Pradhan}},
publisher = {Springer},
booktitle = {Progress in VLSI Design and Test - 16th International Symposium, VDAT 2012, Shibpur, India, July 1-4, 2012. Proceedings}
}
@article{journals/integration/MohantySKP12,
title = {Statistical DOE-ILP based power-performance-process (P3) optimization of nano-CMOS SRAM.},
year = {2012},
journal = {Integr.},
author = {{Saraju P. Mohanty} and {Jawar Singh} and {Elias Kougianos} and {Dhiraj K. Pradhan}}
}
@article{journals/jolpe/SunMPM12,
title = {Enhanced Statistical Blockade Approaches for Fast Robustness Estimation and Compensation of Nano-CMOS Circuits.},
year = {2012},
journal = {J. Low Power Electron.},
author = {{Luo Sun} and {Jimson Mathew} and {Dhiraj K. Pradhan} and {Saraju P. Mohanty}}
}
@inproceedings{conf/date/HuangMSBP13,
title = {A fast and Effective DFT for test and diagnosis of power switches in SoCs.},
year = {2013},
booktitle = {DATE},
author = {{Xiaoyu Huang} and {Jimson Mathew} and {Rishad A. Shafik} and {Subhasis Bhattacharjee} and {Dhiraj K. Pradhan}},
publisher = {EDA Consortium San Jose, CA, USA / ACM DL},
booktitle = {Design, Automation and Test in Europe, DATE 13, Grenoble, France, March 18-22, 2013}
}
@inproceedings{conf/dsd/ShafikRPSPMS13,
title = {Software Modification Aided Transient Error Tolerance for Embedded Systems.},
year = {2013},
booktitle = {DSD},
author = {{Rishad A. Shafik} and {Gerard K. Rauwerda} and {Jordy Potman} and {Kim Sunesen} and {Dhiraj K. Pradhan} and {Jimson Mathew} and {Ioannis Sourdis}},
publisher = {IEEE Computer Society},
booktitle = {2013 Euromicro Conference on Digital System Design, DSD 2013, Los Alamitos, CA, USA, September 4-6, 2013}
}
@inproceedings{conf/ised/BandanBSPM13,
title = {Lifetime Reliability-Aware Checkpointing Mechanism: Modelling and Analysis.},
year = {2013},
booktitle = {ISED},
author = {{Mohamad Imran Bin Bandan} and {Subhasis Bhattacharjee} and {Rishad A. Shafik} and {Dhiraj K. Pradhan} and {Jimson Mathew}},
publisher = {IEEE Computer Society},
booktitle = {2013 International Symposium on Electronic System Design, Singapore, December 10-12, 2013}
}
@inproceedings{conf/ised/GangGMP13,
title = {Multinomial Memristor Model for Simulations and Analysis.},
year = {2013},
booktitle = {ISED},
author = {{Li Gang} and {Jimson Mathew} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {2013 International Symposium on Electronic System Design, Singapore, December 10-12, 2013}
}
@inproceedings{conf/ised/SunMSP13,
title = {Low Power and Robust Binary Tree SRAM Design for Embedded Systems.},
year = {2013},
booktitle = {ISED},
author = {{Luo Sun} and {Jimson Mathew} and {Rishad A. Shafik} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {2013 International Symposium on Electronic System Design, Singapore, December 10-12, 2013}
}
@article{journals/cee/MathewMBPJ13,
title = {Attack tolerant cryptographic hardware design by combining error correction and uniform switching activity.},
year = {2013},
journal = {Comput. Electr. Eng.},
author = {{Jimson Mathew} and {Saraju P. Mohanty} and {Shibaji Banerjee} and {Dhiraj K. Pradhan} and {Abusaleh M. Jabir}}
}
@article{journals/mam/SourdisSABFGIMMPPRSSSTTV13,
title = {DeSyRe: On-demand system reliability.},
year = {2013},
journal = {Microprocess. Microsystems},
author = {{Ioannis Sourdis} and {Christos Strydis} and {Antonino Armato} and {Christos-Savvas Bouganis} and {Babak Falsafi} and {Georgi Nedeltchev Gaydadjiev} and {Sebastián Isaza} and {Alirad Malek} and {R. Mariani} and {Dionisios N. Pnevmatikatos} and {Dhiraj K. Pradhan} and {Gerard K. Rauwerda} and {Robert M. Seepers} and {Rishad A. Shafik} and {Kim Sunesen} and {Dimitris Theodoropoulos} and {Stavros Tzilis} and {Michalis Vavouras}}
}
@inproceedings{conf/arc/SourdisSABFGIMMPPPRSSSTTV14,
title = {DeSyRe: On-Demand Adaptive and Reconfigurable Fault-Tolerant SoCs.},
year = {2014},
booktitle = {ARC},
author = {{Ioannis Sourdis} and {Christos Strydis} and {Antonino Armato} and {Christos-Savvas Bouganis} and {Babak Falsafi} and {Georgi Nedeltchev Gaydadjiev} and {Sebastián Isaza} and {Alirad Malek} and {R. Mariani} and {Samuel N. Pagliarini} and {Dionisios N. Pnevmatikatos} and {Dhiraj K. Pradhan} and {Gerard K. Rauwerda} and {Robert M. Seepers} and {Rishad Ahmed Shafik} and {Georgios Smaragdos} and {Dimitris Theodoropoulos} and {Stavros Tzilis} and {Michalis Vavouras}},
publisher = {Springer},
booktitle = {Reconfigurable Computing: Architectures, Tools, and Applications - 10th International Symposium, ARC 2014, Vilamoura, Portugal, April 14-16, 2014. Proceedings}
}
@inproceedings{conf/date/SunMSPL14,
title = {A low power and robust carbon nanotube 6T SRAM design with metallic tolerance.},
year = {2014},
booktitle = {DATE},
author = {{Luo Sun} and {Jimson Mathew} and {Rishad A. Shafik} and {Dhiraj K. Pradhan} and {Zhen Li}},
publisher = {European Design and Automation Association},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014}
}
@inproceedings{conf/date/YangMPOP14,
title = {Complementary resistive switch based stateful logic operations using material implication.},
year = {2014},
booktitle = {DATE},
author = {{Yuanfan Yang} and {Jimson Mathew} and {Dhiraj K. Pradhan} and {Marco Ottavi} and {Salvatore Pontarelli}},
publisher = {European Design and Automation Association},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014}
}
@inproceedings{conf/dft/MathewOYP14,
title = {Using memristor state change behavior to identify faults in photovoltaic arrays.},
year = {2014},
booktitle = {DFT},
author = {{Jimson Mathew} and {Marco Ottavi} and {Yunfan Yang} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2014, Amsterdam, The Netherlands, October 1-3, 2014}
}
@inproceedings{conf/iolts/PagliariniNNP14,
title = {A hybrid reliability assessment method and its support of sequential logic modelling.},
year = {2014},
booktitle = {IOLTS},
author = {{Samuel N. Pagliarini} and {Lirida A. B. Naviner} and {Jean-François Naviner} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {2014 IEEE 20th International On-Line Testing Symposium, IOLTS 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}
}
@inproceedings{conf/iolts/PagliariniP14,
title = {A placement strategy for reducing the effects of multiple faults in digital circuits.},
year = {2014},
booktitle = {IOLTS},
author = {{Samuel N. Pagliarini} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {2014 IEEE 20th International On-Line Testing Symposium, IOLTS 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}
}
@inproceedings{conf/patmos/AdeyemoMJP14,
title = {Write scheme for multiple Complementary Resistive Switch (CRS) cells.},
year = {2014},
booktitle = {PATMOS},
author = {{Adedotun Adeyemo} and {Jimson Mathew} and {Abusaleh M. Jabir} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {24th International Workshop on Power and Timing Modeling, Optimization and Simulation, PATMOS), Palma de Mallorca, Spain, September 29 - Oct. 1, 2014}
}
@article{journals/esl/YangMSP14,
title = {Verilog-A Based Effective Complementary Resistive Switch Model for Simulations and Analysis.},
year = {2014},
journal = {IEEE Embed. Syst. Lett.},
author = {{Yuanfan Yang} and {Jimson Mathew} and {Rishad A. Shafik} and {Dhiraj K. Pradhan}}
}
@article{journals/jolpe/BandanBPM14,
title = {Energy Efficient Lifetime Reliability-Aware Checkpointing for Real-Time System.},
year = {2014},
journal = {J. Low Power Electron.},
author = {{Mohamad Imran Bin Bandan} and {Subhasis Bhattacharjee} and {Dhiraj K. Pradhan} and {Jimson Mathew}}
}
@article{journals/jolpe/SunMPPS14,
title = {Design and Analysis of Binary Tree Static Random Access Memory for Low Power Embedded Systems.},
year = {2014},
journal = {J. Low Power Electron.},
author = {{Luo Sun} and {Jimson Mathew} and {Samuel N. Pagliarini} and {Dhiraj K. Pradhan} and {Ioannis Sourdis}}
}
@inproceedings{conf/dft/AdeyemoMJP15,
title = {Exploring error-tolerant low-power multiple-output read scheme for memristor-based memory arrays.},
year = {2015},
booktitle = {DFTS},
author = {{Adedotun A. Adeyemo} and {Jimson Mathew} and {Abusaleh M. Jabir} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFTS 2015, Amherst, MA, USA, October 12-14, 2015}
}
@inproceedings{conf/dft/MathewYOBZCJP15,
title = {Fault detection and repair of DSC arrays through memristor sensing.},
year = {2015},
booktitle = {DFTS},
author = {{Jimson Mathew} and {Yuamfam Yang} and {M. Ottavia} and {T. Browna} and {A. Zampettia} and {A. Di Carloa} and {A. M. Jabirb} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFTS 2015, Amherst, MA, USA, October 12-14, 2015}
}
@inproceedings{conf/dtis/YangMOPP15,
title = {2T2M memristor based TCAM cell for low power applications.},
year = {2015},
booktitle = {DTIS},
author = {{Yuanfan Yang} and {Jimson Mathew} and {Marco Ottavi} and {Salvatore Pontarelli} and {Dhiraj K. Pradhan}},
publisher = {IEEE},
booktitle = {10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, DTIS 2015, Napoli, Italy, April 21-23, 2015}
}
@article{journals/integration/MathewCSYP15,
title = {A novel memristor based physically unclonable function.},
year = {2015},
journal = {Integr.},
author = {{Jimson Mathew} and {Rajat Subhra Chakraborty} and {Durga Prasad Sahoo} and {Yuanfan Yang} and {Dhiraj K. Pradhan}}
}
@article{journals/jolpe/MathewRPP15,
title = {Selected Articles from the IEEE ISED 2014 Conference.},
year = {2015},
journal = {J. Low Power Electron.},
author = {{Jimson Mathew} and {Hafizur Rahaman 001} and {Priyadarsan Patra} and {Dhiraj K. Pradhan}}
}
@article{journals/tecs/MathewCSYP15,
title = {A Novel Memristor-Based Hardware Security Primitive.},
year = {2015},
journal = {ACM Trans. Embed. Comput. Syst.},
author = {{Jimson Mathew} and {Rajat Subhra Chakraborty} and {Durga Prasad Sahoo} and {Yuanfan Yang} and {Dhiraj K. Pradhan}}
}
@article{journals/tr/ShafikMP15,
title = {A Low-Cost Unified Design Methodology for Secure Test and Intellectual Property Core Protection.},
year = {2015},
journal = {IEEE Trans. Reliab.},
author = {{Rishad A. Shafik} and {Jimson Mathew} and {Dhiraj K. Pradhan}}
}
@article{journals/tvlsi/Poolakkaparambil15,
title = {A Low-Complexity Multiple Error Correcting Architecture Using Novel Cross Parity Codes Over GF(2m).},
year = {2015},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Mahesh Poolakkaparambil} and {Jimson Mathew} and {Abusaleh M. Jabir} and {Dhiraj K. Pradhan}}
}
@inproceedings{conf/vlsid/ChatterjeeCMP16,
title = {Memristor Based Arbiter PUF: Cryptanalysis Threat and Its Mitigation.},
year = {2016},
booktitle = {VLSID},
author = {{Urbi Chatterjee} and {Rajat Subhra Chakraborty} and {Jimson Mathew} and {Dhiraj K. Pradhan}},
publisher = {IEEE Computer Society},
booktitle = {29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, VLSID 2016, Kolkata, India, January 4-8, 2016}
}
@article{journals/jolpe/MathewRPP17,
title = {Selected Articles from the IEEE ISED 2016 Conference.},
year = {2017},
journal = {J. Low Power Electron.},
author = {{Jimson Mathew} and {Hafizur Rahaman 001} and {Priyadarsan Patra} and {Dhiraj K. Pradhan}}
}
@article{journals/tecs/MathewCP17,
title = {Guest Editorial: Special Issue on "Secure and Fault-Tolerant Embedded Computing".},
year = {2017},
journal = {ACM Trans. Embed. Comput. Syst.},
author = {{Jimson Mathew} and {Rajat Subhra Chakraborty} and {Dhiraj K. Pradhan}}
}
@article{journals/tr/BandanPMP17,
title = {Improved Multiple Faults-Aware Placement Strategy: Reducing the Overheads and Error Rates in Digital Circuits.},
year = {2017},
journal = {IEEE Trans. Reliab.},
author = {{Mohamad Imran Bin Bandan} and {Samuel Nascimento Pagliarini} and {Jimson Mathew} and {Dhiraj K. Pradhan}}
}
@article{journals/dt/GaurSMFP21,
title = {Design of Single-Bit Fault-Tolerant Reversible Circuits.},
year = {2021},
journal = {IEEE Des. Test},
author = {{Hari Mohan Gaur} and {Ashutosh Kumar Singh 001} and {Anand Mohan} and {Masahiro Fujita} and {Dhiraj K. Pradhan}}
}