Dongsheng Wang

Orcid: 0000-0001-5779-9026

Affiliations:
  • Tsinghua University, Department of Computer Science and Technology, Tsinghua National Laboratory for Information Science and Technology, Research Institute of Information Technology,, Beijing, China
  • Tsinghua University, Institute of High Performance Computing Technology, Beijing, China
  • Harbin Institute of Technology, China (PhD 1995)


According to our database1, Dongsheng Wang authored at least 169 papers between 1999 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Lightning: Leveraging DVFS-induced Transient Fault Injection to Attack Deep Learning Accelerator of GPUs.
ACM Trans. Design Autom. Electr. Syst., January, 2024

Touchscreens Can Reveal User Identity: Capacitive Plethysmogram-Based Biometrics.
IEEE Trans. Mob. Comput., January, 2024

Uncovering and Exploiting AMD Speculative Memory Access Predictors for Fun and Profit.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024

2023
PMU-Spill: A New Side Channel for Transient Execution Attacks.
IEEE Trans. Circuits Syst. I Regul. Pap., December, 2023

Exploration and Exploitation of Hidden PMU Events.
CoRR, 2023

Timing the Transient Execution: A New Side-Channel Attack on Intel CPUs.
CoRR, 2023

Exploration and Exploitation of Hidden PMU Events.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Leaky MDU: ARM Memory Disambiguation Unit Uncovered and Vulnerabilities Exposed.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

PMU-Leaker: Performance Monitor Unit-Based Realization of Cache Side-Channel Attacks.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

Overtake: Achieving Meltdown-type Attacks with One Instruction.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2023

2022
DynaComm: Accelerating Distributed CNN Training Between Edges and Clouds Through Dynamic Communication Scheduling.
IEEE J. Sel. Areas Commun., 2022

PMUSpill: The Counters in Performance Monitor Unit that Leak SGX-Protected Secrets.
CoRR, 2022

SSB-Tree: Making Persistent Memory B+- Trees Crash-Consistent and Concurrent by Lazy-Box.
Proceedings of the 2022 IEEE International Parallel and Distributed Processing Symposium, 2022

Model Checking for Microarchitectural Data Sampling Security.
Proceedings of the 7th IEEE International Conference on Data Science in Cyberspace, 2022

CacheGuard: A Behavior Model Checker for Cache Timing Side-Channel Security: (Invited Paper).
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

DVFSspy: Using Dynamic Voltage and Frequency Scaling as a Covert Channel for Multiple Procedures.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

PMU-Spill: Performance Monitor Unit Counters Leak Secrets in Transient Executions.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2022

2021
VoltJockey: A New Dynamic Voltage Scaling-Based Fault Injection Attack on Intel SGX.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Lightning: Striking the Secure Isolation on GPU Clouds with Transient Hardware Faults.
CoRR, 2021

Social recommendation algorithms with user feedback information.
Concurr. Comput. Pract. Exp., 2021

Analyzing Learning-based Encrypted Malware Traffic Classification with AutoML.
Proceedings of the 21st International Conference on Communication Technology, 2021

2020
VoltJockey: Abusing the Processor Voltage to Break Arm TrustZone.
GetMobile Mob. Comput. Commun., 2020

Parallelizing and optimizing neural Encoder-Decoder models without padding on multi-core architecture.
Future Gener. Comput. Syst., 2020

Modeling IPv6 adoption from biological evolution.
Comput. Commun., 2020

CARD: A Congestion-Aware Request Dispatching Scheme for Replicated Metadata Server Cluster.
Proceedings of the ICPP 2020: 49th International Conference on Parallel Processing, 2020

An Adaptive Erasure-Coded Storage Scheme with an Efficient Code-Switching Algorithm.
Proceedings of the 40th IEEE International Conference on Distributed Computing Systems, 2020

Multi UAV Target Tracking Based on the Vision and Communication Information.
Proceedings of the Cognitive Systems and Signal Processing - 5th International Conference, 2020

CRaft: An Erasure-coding-supported Version of Raft for Reducing Storage Cost and Network Cost.
Proceedings of the 18th USENIX Conference on File and Storage Technologies, 2020

Astraea: Deploy AI Services at the Edge in Elegant Ways.
Proceedings of the 2020 IEEE International Conference on Edge Computing, 2020

Mitigating Adversarial Attacks for Deep Neural Networks by Input Deformation and Augmentation.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
QGAN: Quantized Generative Adversarial Networks.
CoRR, 2019

Fast Recovery Techniques for Erasure-coded Clusters in Non-uniform Traffic Network.
Proceedings of the 48th International Conference on Parallel Processing, 2019

VoltJockey: Breaching TrustZone by Software-Controlled Voltage Manipulation over Multi-core Frequencies.
Proceedings of the 2019 ACM SIGSAC Conference on Computer and Communications Security, 2019

VoltJockey: Breaking SGX by Software-Controlled Voltage-Induced Hardware Faults.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2019

2018
Control Flow Integrity Based on Lightweight Encryption Architecture.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

A Dataflow-Oriented Programming Interface for Named Data Networking.
J. Comput. Sci. Technol., 2018

HitNet: Hybrid Ternary Recurrent Neural Network.
Proceedings of the Advances in Neural Information Processing Systems 31: Annual Conference on Neural Information Processing Systems 2018, 2018

CNN Based CU Partition Mode Decision Algorithm for HEVC Inter Coding.
Proceedings of the 2018 IEEE International Conference on Image Processing, 2018

Naxos: A Named Data Networking Consensus Protocol.
Proceedings of the 20th IEEE International Conference on High Performance Computing and Communications; 16th IEEE International Conference on Smart City; 4th IEEE International Conference on Data Science and Systems, 2018

SNrram: an efficient sparse neural network computation architecture based on resistive random-access memory.
Proceedings of the 55th Annual Design Automation Conference, 2018

Computation Error Analysis of Block Floating Point Arithmetic Oriented Convolution Neural Network Accelerator Design.
Proceedings of the Thirty-Second AAAI Conference on Artificial Intelligence, 2018

2017
Computation Error Analysis of Block Floating Point Arithmetic Oriented Convolution Neural Network Accelerator Design.
CoRR, 2017

Cache Friendly Parallelization of Neural Encoder-Decoder Models Without Padding on Multi-core Architecture.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017

Data-centric computation mode for convolution in deep neural networks.
Proceedings of the 2017 International Joint Conference on Neural Networks, 2017

True Random Number Generator Based on Ring Oscillator PUFs.
Proceedings of the 2nd International Conference on Multimedia Systems and Signal Processing, 2017

Coding sensitive based approximation algorithm for power efficient VBS-DCT VLSI design in HEVC hardwired Intra encoder.
Proceedings of the 2017 IEEE International Conference on Image Processing, 2017

Improving IPv6 transition management with IPv6 network virtualization.
Proceedings of the 9th International Conference on Advanced Infocomm Technology, 2017

FEBRE: A Fast and Efficient Bit-Flipping Reduction Technique to Extend PCM lifetime.
Proceedings of the Fifth International Symposium on Computing and Networking, 2017

CNN oriented fast PU mode decision for HEVC hardwired intra encoder.
Proceedings of the 2017 IEEE Global Conference on Signal and Information Processing, 2017

Sensitivity-based acceleration and compression algorithm for convolution neural network.
Proceedings of the 2017 IEEE Global Conference on Signal and Information Processing, 2017

A 200MHZ 202.4GFLOPS@10.8W VGG16 accelerator in Xilinx VX690T.
Proceedings of the 2017 IEEE Global Conference on Signal and Information Processing, 2017

GDCRT: In-Memory 2D Geographical Dynamic Cascading Range Tree.
Proceedings of the Advanced Parallel Processing Technologies, 2017

2016
CU Partition Mode Decision for HEVC Hardwired Intra Encoder Using Convolution Neural Network.
IEEE Trans. Image Process., 2016

Reusing the Results of Queries in MapReduce Systems by Adopting Shared Storage.
IEICE Trans. Commun., 2016

MAC: a novel systematically multilevel cache replacement policy for PCM memory.
CoRR, 2016

HEVC fast FME algorithm using IME RD-costs based error surface fitting scheme.
Proceedings of the 2016 Visual Communications and Image Processing, 2016

Error models of finite word length arithmetic in CNN accelerator design.
Proceedings of the 2016 Visual Communications and Image Processing, 2016

CNN oriented fast HEVC intra CU mode decision.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Consistent replication protocol for Named Data Networking.
Proceedings of the 24th IEEE International Conference on Network Protocols, 2016

Pull-off buffer: Borrowing cache space to avoid deadlock for fault-tolerant NoC routing.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

When Video Streaming Meets Named Data Networking: A Case Study.
Proceedings of the 18th IEEE International Conference on High Performance Computing and Communications; 14th IEEE International Conference on Smart City; 2nd IEEE International Conference on Data Science and Systems, 2016

Physical unclonable functions-based linear encryption against code reuse attacks.
Proceedings of the 53rd Annual Design Automation Conference, 2016

KCGS-Store: A Columnar Storage Based on Group Sorting of Key Columns.
Proceedings of the 9th IEEE International Conference on Cloud Computing, 2016

2015
A Small-Footprint Accelerator for Large-Scale Neural Networks.
ACM Trans. Comput. Syst., 2015

Cluster Cache Monitor: Leveraging the Proximity Data in CMP.
Int. J. Parallel Program., 2015

Super Rack: Reusing the Results of Queries in MapReduce Systems.
Proceedings of the 2015 IEEE 12th Intl Conf on Ubiquitous Intelligence and Computing and 2015 IEEE 12th Intl Conf on Autonomic and Trusted Computing and 2015 IEEE 15th Intl Conf on Scalable Computing and Communications and Its Associated Workshops (UIC-ATC-ScalCom), 2015

VLSI friendly fast CU/PU mode decision for HEVC intra encoding: Leveraging convolution neural network.
Proceedings of the 2015 IEEE International Conference on Image Processing, 2015

Parallelizing Convolutional Neural Networks on Intel $$^{\textregistered }$$ Many Integrated Core Architecture.
Proceedings of the Architecture of Computing Systems - ARCS 2015, 2015

2014
Fast Recovery and Low Cost Coexist: When Continuous Data Protection Meets the Cloud.
IEICE Trans. Inf. Syst., 2014

Möbius: A high performance transactional SSD with rich primitives.
Proceedings of the IEEE 30th Symposium on Mass Storage Systems and Technologies, 2014

TS-Hadoop: Handling access skew in MapReduce by using tiered storage infrastructure.
Proceedings of the International Conference on Information and Communication Technology Convergence, 2014

Binary classification based linear rate estimation model for HEVC RDO.
Proceedings of the 2014 IEEE International Conference on Image Processing, 2014

Linear adaptive search range model for uni-prediction and motion analysis for bi-prediction in HEVC.
Proceedings of the 2014 IEEE International Conference on Image Processing, 2014

Linear Rate Estimation Model for HEVC RDO Using Binary Classification Based Regression.
Proceedings of the Data Compression Conference, 2014

Shared I/O Scheduling in Cloud for Structured Data Processing.
Proceedings of the 2014 IEEE Fourth International Conference on Big Data and Cloud Computing, 2014

HDTV1080p HEVC Intra encoder with source texture based CU/PU mode pre-decision.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
The Case of Using Multiple Streams in Streaming.
Int. J. Autom. Comput., 2013

Improving Cache Partitioning Algorithms for Pseudo-LRU Policies.
IEICE Trans. Inf. Syst., 2013

Bayesian Theory Based Adaptive Proximity Data Accessing for CMP Caches.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

Content-Aware Write Reduction Mechanism of 3D Stacked Phase-Change RAM Based Frame Store in H.264 Video Codec System.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

Cluster Cache Monitor.
Proceedings of the 25th International Symposium on Computer Architecture and High Performance Computing, 2013

Security Memory System for Mobile Device or Computer Against Memory Attacks.
Proceedings of the Trustworthy Computing and Services, 2013

Fully pipelined DCT/IDCT/Hadamard unified transform architecture for HEVC Codec.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Content-aware write reduction mechanism of phase-change RAM based Frame Store in H.264 Video codec system.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Fast HEVC intra mode decision using matching edge detector and kernel density estimation alike histogram generation.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Fast prediction mode decision with hadamard transform based rate-distortion cost estimation for HEVC intra coding.
Proceedings of the IEEE International Conference on Image Processing, 2013

Bayesian theory oriented Optimal Data-Provider Selection for CMP.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

41.7BN-pixels/s reconfigurable intra prediction architecture for HEVC 2560×1600 encoder.
Proceedings of the IEEE International Conference on Acoustics, 2013

NCluster: Using Multiple Active Name Nodes to Achieve High Availability for HDFS.
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013

Data Access Type Aware Replacement Policy for Cache Clustering Organization of Chip Multiprocessors.
Proceedings of the Advanced Parallel Processing Technologies, 2013

2012
Wear-Resistant Hybrid Cache Architecture with Phase Change Memory.
Proceedings of the Seventh IEEE International Conference on Networking, 2012

Lagrangian multiplier optimization using correlations in residues.
Proceedings of the 2012 IEEE International Conference on Acoustics, 2012

Relay recommendation system (RRS) and selective anonymity for tor.
Proceedings of the 2012 IEEE Global Communications Conference, 2012

Lagrangian Multiplier Optimization Using Markov Chain Based Rate and Piecewise Approximated Distortion Models.
Proceedings of the 2012 Data Compression Conference, Snowbird, UT, USA, April 10-12, 2012, 2012

Dynamic reusability-based replication with network address mapping in CMPs.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

Proximity-Aware cache Replication.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
Register Length Analysis and VLSI Optimization of VBS Hadamard Transform in H.264/AVC.
IEEE Trans. Circuits Syst. Video Technol., 2011

A Novel Cache Replacement Policy via Dynamic Adaptive Insertion and Re-Reference Prediction.
IEICE Trans. Electron., 2011

Employing Object-Based Storage Devices to Embed File Access Control in Storage.
Intell. Autom. Soft Comput., 2011

Coherent Temporal Streams in PARSEC.
Proceedings of the Sixth International Conference on Networking, Architecture, and Storage, 2011

One-round renormalization based 2-bin/cycle H.264/AVC CABAC encoder.
Proceedings of the 18th IEEE International Conference on Image Processing, 2011

Another CDFA Based Multi-Pattern Matching Algorithm and Architecture for Packet Inspection.
Proceedings of 20th International Conference on Computer Communications and Networks, 2011

High performance cache block replication using re-reference probability in CMPs.
Proceedings of the 18th International Conference on High Performance Computing, 2011

Saga: A Cost Efficient File System Based on Cloud Storage Service.
Proceedings of the Economics of Grids, Clouds, Systems, and Services, 2011

A Read-Write Aware Replacement Policy for Phase Change Memory.
Proceedings of the Advanced Parallel Processing Technologies - 9th International Symposium, 2011

Enhanced Adaptive Insertion Policy for Shared Caches.
Proceedings of the Advanced Parallel Processing Technologies - 9th International Symposium, 2011

"Super nodes" in Tor: existence and security implication.
Proceedings of the Twenty-Seventh Annual Computer Security Applications Conference, 2011

Scalable Proximity-Aware Cache Replication in Chip Multiprocessors.
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011

2010
CCNoC: Cache-Coherent Network on Chip for Chip Multiprocessors.
J. Comput. Sci. Technol., 2010

Hierarchical Cache Directory for CMP.
J. Comput. Sci. Technol., 2010

Architecture and Circuit Optimization of Hardwired Integer Motion Estimation Engine for H.264/AVC.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

A Cache Replacement Policy Using Adaptive Insertion and Re-reference Prediction.
Proceedings of the 22st International Symposium on Computer Architecture and High Performance Computing, 2010

A High Effective Indexing and Retrieval Method Providing Block-Level Timely Recovery to Any Point-in-Time.
Proceedings of the Fifth International Conference on Networking, Architecture, and Storage, 2010

Fast Hierarchical Cache Directory: A Scalable Cache Organization for Large-Scale CMP.
Proceedings of the Fifth International Conference on Networking, Architecture, and Storage, 2010

A Two-Phase Differential Synchronization Algorithm for Remote Files.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2010

An Novel Hybrid Method for Effectively Classifying Encrypted Traffic.
Proceedings of the Global Communications Conference, 2010

HMC: A Novel Mechanism for Identifying Encrypted P2P Thunder Traffic.
Proceedings of the Global Communications Conference, 2010

2009
A parameterized multilevel pattern matching architecture on FPGAs for network intrusion detection and prevention.
Sci. China Ser. F Inf. Sci., 2009

TH-CDP: An Efficient Block Level Continuous Data Protection System.
Proceedings of the International Conference on Networking, Architecture, and Storage, 2009

Network caching for Chip Multiprocessors.
Proceedings of the 28th International Performance Computing and Communications Conference, 2009

R-ADMAD: high reliability provision for large-scale de-duplication archival storage systems.
Proceedings of the 23rd international conference on Supercomputing, 2009

A Novel Optimization Method to Improve De-duplication Storage System Performance.
Proceedings of the 15th IEEE International Conference on Parallel and Distributed Systems, 2009

Hardware optimizations of variable block size Hadamard transform for H.264/AVC FRExt.
Proceedings of the International Conference on Image Processing, 2009

A Novel Cache Organization for Tiled Chip Multiprocessor.
Proceedings of the Advanced Parallel Processing Technologies, 8th International Symposium, 2009

An Efficient Lightweight Shared Cache Design for Chip Multiprocessors.
Proceedings of the Advanced Parallel Processing Technologies, 8th International Symposium, 2009

A path combinational method for multiple pattern matching.
Proceedings of the 2009 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2009

2008
A new framework for the design and analysis of identity-based identification schemes.
Theor. Comput. Sci., 2008

A Memory Efficient Multiple Pattern Matching Architecture for Network Security.
Proceedings of the INFOCOM 2008. 27th IEEE International Conference on Computer Communications, 2008

A multiple simple regular expression matching architecture and coprocessor for deep packet inspection.
Proceedings of the 13th Asia-Pacific Computer Systems Architecture Conference, 2008

Portable desktop applications based on user-level virtualization.
Proceedings of the 13th Asia-Pacific Computer Systems Architecture Conference, 2008

Semantic Data De-duplication for archival storage systems.
Proceedings of the 13th Asia-Pacific Computer Systems Architecture Conference, 2008

IDRS: Combining File-level Intrusion Detection with Block-level Data Recovery based on iSCSI.
Proceedings of the The Third International Conference on Availability, 2008

2007
T-Archive: A Novel HSM-Based Data Archive System.
Data Sci. J., 2007

A New Video Compression Algorithm for Very Low Bandwidth Using Curve Fitting Method.
Proceedings of the Advances in Visual Information Systems, 9th International Conference, 2007

Exploit Temporal Locality of Shared Data in SRC Enabled CMP.
Proceedings of the Network and Parallel Computing, IFIP International Conference, 2007

Tourist: Self-Adaptive Structured Overlay.
Proceedings of the Sixth International Conference on Networking (ICN 2007), 2007

Multilevel Pattern Matching Architecture for Network Intrusion Detection and Prevention System.
Proceedings of the Embedded Software and Systems, [Third] International Conference, 2007

An Efficient Handoff Strategy for Mobile Computing Checkpoint System.
Proceedings of the Embedded and Ubiquitous Computing, International Conference, 2007

A MAC Protocol with Little Idle Listening for Wireless Sensor Networks.
Proceedings of the Emerging Directions in Embedded and Ubiquitous Computing, 2007

LIRAC: Using Live Range Information to Optimize Memory Access.
Proceedings of the Architecture of Computing Systems, 2007

n-Cube Model for Cluster Computing and Its Evaluation.
Proceedings of the Advanced Parallel Processing Technologies, 7th International Symposium, 2007

A More Natural Way to Construct Identity-Based Identification Schemes.
Proceedings of the Applied Cryptography and Network Security, 5th International Conference, 2007

2006
Virtual-Machine-based Intrusion Detection on File-aware Block Level Storage.
Proceedings of the 18th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2006), 2006

Research on Object-Storage-Based Intrusion Detection.
Proceedings of the 12th International Conference on Parallel and Distributed Systems, 2006

Seamless Peripherals Integration for Network Computers based on the Reversed Server Message Block Protocol.
Proceedings of the 2006 International Conference on Networking and Services (ICNS 2006), 2006

SRC-based Cache Coherence Protocol in Chip Multiprocessor.
Proceedings of the Japan-China Joint Workshop on Frontier of Computer Science and Technology, 2006

An Efficient Computing-Checkpoint Based Coordinated Checkpoint Algorithm.
Proceedings of the Embedded and Ubiquitous Computing, International Conference, 2006

PIFF: An Intelligent File Filtering Mechanism for Peer-to-Peer Network.
Proceedings of the Second International Symposium on Dependable Autonomic and Secure Computing (DASC 2006), 29 September, 2006

Acceleration Techniques for Chip-Multiprocessor Simulator Debug.
Proceedings of the Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, 2006

Live Range Aware Cache Architecture.
Proceedings of the Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, 2006

2005
An Approach to Fair Resource Sharing in Peer-to-Peer Systems.
Proceedings of the Networking, 2005

Genius: Peer-to-Peer Location-Aware Gossip Using Network Coordinates.
Proceedings of the Computational Science, 2005

Service Roaming Based Distributed Environment for Mobile Computing.
Proceedings of the 2005 International Conference on Pervasive Systems and Computing, 2005

CAPU: Enhancing P2P File Sharing System with Capacity Aware Topology.
Proceedings of the Advanced Parallel Processing Technologies, 6th International Workshop, 2005

Exploring Design Space Using Transaction Level Models.
Proceedings of the Advances in Computer Systems Architecture, 10th Asia-Pacific Conference, 2005

2004
Parallel Checkpoint/Recovery on Cluster of IA-64 Computers.
Proceedings of the Parallel and Distributed Processing and Applications, 2004

SmartBoa: Constructing p2p Overlay Network in the Heterogeneous Internet Using Irregular Routing Tables.
Proceedings of the Peer-to-Peer Systems III, Third International Workshop, 2004

Using Model-Based Test Program Generator for Simulation Validation.
Proceedings of the Embedded Software and Systems, First International Conference, 2004

Twins: 2-hop Structured Overlay with High Scalability.
Proceedings of the Computational Science, 2004

A JDO Storage Cluster Based on Object Devices.
Proceedings of the Grid and Cooperative Computing, 2004

Gemini: Probabilistic Routing Algorithm in Structured P2P Overlay.
Proceedings of the Grid and Cooperative Computing, 2004

Janus: Build Gnutella-Like File Sharing System over Structured Overlay.
Proceedings of the Grid and Cooperative Computing, 2004

A Fault-Tolerant Single-Chip Multiprocessor.
Proceedings of the Advances in Computer Systems Architecture, 9th Asia-Pacific Conference, 2004

2002
A checkpoint-based high availability run-time system for Windows NT clusters.
ACM SIGOPS Oper. Syst. Rev., 2002

LND: A Reliable Multi-Tier Storage Device in NOW.
ACM SIGOPS Oper. Syst. Rev., 2002

2001
Transparent Checkpointing and Rollback Recovery Mechanism for Windows NT Applications.
ACM SIGOPS Oper. Syst. Rev., 2001

MOT: Memory Online Tracing of Web Information System.
Proceedings of the 2nd International Conference on Web Information Systems Engineering, 2001

Cluster-based online monitoring system of web traffic.
Proceedings of the 3rd International Workshop on Web Information and Data Management (WIDM 2001), 2001

1999
Quasi-Asynchronous Migration: A Novel Migration Protocol for PVM Tasks.
ACM SIGOPS Oper. Syst. Rev., 1999


  Loading...