% csauthors.net - beta - BibTeX bibliography of Doris Schmitt-Landsiedel
@article{journals/tcad/HoppeNSS90,
title = {Optimization of high-speed CMOS logic circuits with analytical models for signal delay, chip area, and dynamic power dissipation.},
year = {1990},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Bernhard Hoppe} and {Gerd Neuendorf} and {Doris Schmitt-Landsiedel} and {J. Will Specks}}
}
@article{journals/jsa/EiseleS91,
title = {Optimization and architectural evaluation of regular combinatoric structures.},
year = {1991},
journal = {Microprocessing and Microprogramming},
author = {{Veronika Eisele} and {Doris Schmitt-Landsiedel}}
}
@inproceedings{conf/dft/BubelMWNHSG95,
title = {AFFCCA: a tool for critical area analysis with circular defects and lithography deformed layout.},
year = {1995},
booktitle = {DFT},
author = {{Igor Bubel} and {Wojciech Maly} and {Thomas Waas} and {Pranab K. Nag} and {Hans Hartmann} and {Doris Schmitt-Landsiedel} and {Susanne Griep}},
publisher = {IEEE Computer Society},
booktitle = {1995 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 1995, Lafayette, LA, USA, November 13-15, 1995}
}
@inproceedings{conf/iccad/KadivarSK95,
title = {A new algorithm for the design of stable higher order single loop sigma delta analog-to-digital converters.},
year = {1995},
booktitle = {ICCAD},
author = {{S. R. Kadivar} and {Doris Schmitt-Landsiedel} and {Heinrich Klar}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1995, San Jose, California, USA, November 5-9, 1995}
}
@article{journals/tvlsi/EiseleBSM97,
title = {The impact of intra-die device parameter variations on path delays and on the design for yield of low voltage digital circuits.},
year = {1997},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{M. Eisele} and {Jörg Berthold} and {Doris Schmitt-Landsiedel} and {R. Mahnkopf}}
}
@inproceedings{conf/iscas/TilleSS01,
title = {A low-voltage MOSFET-only Sigma-Delta modulator for speech band applications using depletion-mode MOS-capacitors in combined series and parallel compensation.},
year = {2001},
booktitle = {ISCAS (1)},
author = {{Thomas Tille} and {Jens Sauerbrey} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001}
}
@article{journals/jssc/TilleSS01,
title = {A 1.8-V MOSFET-only ΣΔ modulator using substrate biased depletion-mode MOS capacitors in series compensation.},
year = {2001},
journal = {IEEE J. Solid State Circuits},
author = {{Thomas Tille} and {Jens Sauerbrey} and {Doris Schmitt-Landsiedel}}
}
@article{journals/jssc/WichtPS01,
title = {Analysis and compensation of the bitline multiplexer in SRAM current sense amplifiers.},
year = {2001},
journal = {IEEE J. Solid State Circuits},
author = {{Bernhard Wicht} and {Steffen Paul} and {Doris Schmitt-Landsiedel}}
}
@article{journals/jssc/SauerbreyTST02,
title = {A 0.7-V MOSFET-only switched-opamp ΣΔ modulator in standard digital CMOS technology.},
year = {2002},
journal = {IEEE J. Solid State Circuits},
author = {{Jens Sauerbrey} and {Thomas Tille} and {Doris Schmitt-Landsiedel} and {Roland Thewes}}
}
@article{journals/mr/CastellazziKSS02,
title = {Reliability analysis of power MOSFET's with the help of compact models and circuit simulation.},
year = {2002},
journal = {Microelectron. Reliab.},
author = {{Alberto Castellazzi} and {R. Kraus} and {Norbert Seliger} and {Doris Schmitt-Landsiedel}}
}
@inproceedings{conf/esscirc/AmiranteFLBBHS03,
title = {An ultra low-power adiabatic adder embedded in a standard 0.13μm CMOS environment.},
year = {2003},
booktitle = {ESSCIRC},
author = {{Ettore Amirante} and {Jürgen Fischer} and {Markus Lang} and {Agnese Bargagli-Stoffi} and {Jörg Berthold} and {Christoph Heer} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {ESSCIRC 2003 - 29th European Solid-State Circuits Conference, Estoril, Portugal, September 16-18, 2003}
}
@inproceedings{conf/esscirc/WichtNS03,
title = {A yield-optimized latch-type SRAM sense amplifier.},
year = {2003},
booktitle = {ESSCIRC},
author = {{Bernhard Wicht} and {Thomas Nirschl} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {ESSCIRC 2003 - 29th European Solid-State Circuits Conference, Estoril, Portugal, September 16-18, 2003}
}
@inproceedings{conf/ets/LiauS03,
title = {Automatic worst case pattern generation using neural networks & genetic algorithm for estimation of switching noise on power supply lines in CMOS circuits.},
year = {2003},
booktitle = {ETW},
author = {{Eric Liau} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE Computer Society},
booktitle = {8th European Test Workshop, ETW 2003, Maastricht, The Netherlands, May 25-28, 2003}
}
@inproceedings{conf/iscas/FreyJSPHSHKKAGST03,
title = {Design of an integrated potentiostat circuit for CMOS bio sensor chips.},
year = {2003},
booktitle = {ISCAS (5)},
author = {{Alexander Frey} and {Martin Jenkner} and {Meinrad Schienle} and {Christian Paulus} and {Birgit Holzapfl} and {Petra Schindler-Bauer} and {Franz Hofmann} and {Dirk Kuhlmeier} and {Jürgen Krause} and {Jörg Albers} and {Walter Gumbrecht} and {Doris Schmitt-Landsiedel} and {Roland Thewes}},
publisher = {IEEE},
booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003}
}
@inproceedings{conf/iscas/SauerbreyWST03,
title = {0.65V sigma-delta modulators.},
year = {2003},
booktitle = {ISCAS (1)},
author = {{Jens Sauerbrey} and {Martin Wittig} and {Doris Schmitt-Landsiedel} and {Roland Thewes}},
publisher = {IEEE},
booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003}
}
@inproceedings{conf/patmos/FischerARIS03,
title = {Reduction of the Energy Consumption in Adiabatic Gates by Optimal Transistor Sizing.},
year = {2003},
booktitle = {PATMOS},
author = {{Jürgen Fischer} and {Ettore Amirante} and {Francesco Randazzo} and {Giuseppe Iannaccone} and {Doris Schmitt-Landsiedel}},
publisher = {Springer},
booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 13th International Workshop, PATMOS 2003, Torino, Italy, September 10-12, 2003, Proceedings}
}
@inproceedings{conf/vecims/LiauS03,
title = {Evolution of automatic semiconductor test equipment: automatic test pattern learning, classification, optimisation and generation for power supply noise.},
year = {2003},
booktitle = {VECIMS},
author = {{Eric Liau} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {IEEE International Conference on Virtual Environments, Human-Computer Interfaces and Measurement Systems, VECIMS 2003, Lugano, Switzerland, 27-29 July 2003}
}
@inproceedings{conf/vlsi/HenzlerKSBG03,
title = {Design Aspects and Technological Scaling Limits of ZigZag Circuit Block Switch-Off Schemes.},
year = {2003},
booktitle = {VLSI-SOC},
author = {{Stephan Henzler} and {Markus Koban} and {Doris Schmitt-Landsiedel} and {Jörg Berthold} and {Georg Georgakos}},
publisher = {Technische Universität Darmstadt, Insitute of Microelectronic Systems},
booktitle = {IFIP VLSI-SoC 2003, IFIP WG 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Darmstadt, Germany, 1-3 December 2003}
}
@inproceedings{conf/vlsi/HenzlerTKBGS03,
title = {Impact of Gate Leakage on Efficiency of Circuit Block Switch-Off Schemes.},
year = {2003},
booktitle = {VLSI-SoC (Selected Papers)},
author = {{Stephan Henzler} and {Philip Teichmann} and {Markus Koban} and {Jörg Berthold} and {Georg Georgakos} and {Doris Schmitt-Landsiedel}},
publisher = {Springer},
booktitle = {VLSI-SOC: From Systems to Chips - IFIP TC 10/ WG 10.5 Twelfth International Conference on Very Large Scale Integration of System on Chip (VLSI-SoC 2003), December 1-3, 2003, Darmstadt, Germany}
}
@article{journals/jssc/EversmannJHPBHF03,
title = {A 128 × 128 CMOS biosensor array for extracellular recording of neural activity.},
year = {2003},
journal = {IEEE J. Solid State Circuits},
author = {{Björn Eversmann} and {Martin Jenkner} and {Franz Hofmann} and {Christian Paulus} and {Ralf Brederlow} and {Birgit Holzapfl} and {Peter Fromherz} and {Matthias Merz} and {Markus Brenner} and {Matthias Schreiter} and {Reinhard Gabl} and {Kurt Plehnert} and {Michael Steinhauser} and {Gerald Eckstein} and {Doris Schmitt-Landsiedel} and {Roland Thewes}}
}
@article{journals/jssc/SauerbreyST03,
title = {A 0.5-V 1-μW successive approximation ADC.},
year = {2003},
journal = {IEEE J. Solid State Circuits},
author = {{Jens Sauerbrey} and {Doris Schmitt-Landsiedel} and {Roland Thewes}}
}
@article{journals/mr/CastellazziKKSHS03,
title = {Hot-Spot Meaurements and Analysis of Electro-Thermal Effects in Low-Voltage Power-MOSFET's.},
year = {2003},
journal = {Microelectron. Reliab.},
author = {{Alberto Castellazzi} and {V. Kartal} and {R. Kraus} and {Norbert Seliger} and {Martin Honsberg-Riedl} and {Doris Schmitt-Landsiedel}}
}
@inproceedings{conf/iscas/NirschlHS04,
title = {The tunnelling field effect transistors (TFET): the temperature dependence, the simulation model, and its application.},
year = {2004},
booktitle = {ISCAS (3)},
author = {{Thomas Nirschl} and {Peng-Fei Wang} and {Walter Hansch} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, ISCAS 2004, Vancouver, BC, Canada, May 23-26, 2004}
}
@inproceedings{conf/patmos/FischerABTGS04,
title = {Power Supply Net for Adiabatic Circuits.},
year = {2004},
booktitle = {PATMOS},
author = {{Jürgen Fischer} and {Ettore Amirante} and {Agnese Bargagli-Stoffi} and {Philip Teichmann} and {Dominik Gruber} and {Doris Schmitt-Landsiedel}},
publisher = {Springer},
booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation; 14th International Workshop, PATMOS 2004, Santorini, Greece, September 15-17, 2004, Proceedings}
}
@inproceedings{conf/patmos/HenzlerGBS04,
title = {Single Supply Voltage High-Speed Semi-dynamic Level-Converting Flip-Flop with Low Power and Area Consumption.},
year = {2004},
booktitle = {PATMOS},
author = {{Stephan Henzler} and {Georg Georgakos} and {Jörg Berthold} and {Doris Schmitt-Landsiedel}},
publisher = {Springer},
booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation; 14th International Workshop, PATMOS 2004, Santorini, Greece, September 15-17, 2004, Proceedings}
}
@inproceedings{conf/patmos/HenzlerGBS04a,
title = {Two Level Compact Simulation Methodology for Timing Analysis of Power-Switched Circuits.},
year = {2004},
booktitle = {PATMOS},
author = {{Stephan Henzler} and {Georg Georgakos} and {Jörg Berthold} and {Doris Schmitt-Landsiedel}},
publisher = {Springer},
booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation; 14th International Workshop, PATMOS 2004, Santorini, Greece, September 15-17, 2004, Proceedings}
}
@article{journals/jssc/WichtNS04,
title = {Yield and speed optimization of a latch-type voltage sense amplifier.},
year = {2004},
journal = {IEEE J. Solid State Circuits},
author = {{Bernhard Wicht} and {Thomas Nirschl} and {Doris Schmitt-Landsiedel}}
}
@article{journals/mr/CastellazziSS04,
title = {Analysis of PowerMOSFET chips failed in thermal instability.},
year = {2004},
journal = {Microelectron. Reliab.},
author = {{Alberto Castellazzi} and {H. Schwarzbauer} and {Doris Schmitt-Landsiedel}}
}
@article{journals/tcas/TilleSMS04,
title = {Design of low-voltage MOSFET-only ΣΔ modulators in standard digital CMOS technology.},
year = {2004},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Thomas Tille} and {Jens Sauerbrey} and {Manfred Mauthe} and {Doris Schmitt-Landsiedel}}
}
@inproceedings{conf/cf/FischerTS05,
title = {Scaling trends in adiabatic logic.},
year = {2005},
booktitle = {Conf. Computing Frontiers},
author = {{Jürgen Fischer} and {Philip Teichmann} and {Doris Schmitt-Landsiedel}},
publisher = {ACM},
booktitle = {Proceedings of the Second Conference on Computing Frontiers, 2005, Ischia, Italy, May 4-6, 2005}
}
@inproceedings{conf/cf/HenzlerNEAS05,
title = {Making adiabatic circuits attractive for todays VLSI industry by multi-mode operation-adiabatic mode circuits.},
year = {2005},
booktitle = {Conf. Computing Frontiers},
author = {{Stephan Henzler} and {Thomas Nirschl} and {Matthias Eireiner} and {Ettore Amirante} and {Doris Schmitt-Landsiedel}},
publisher = {ACM},
booktitle = {Proceedings of the Second Conference on Computing Frontiers, 2005, Ischia, Italy, May 4-6, 2005}
}
@inproceedings{conf/date/LiauS05,
title = {Computational Intelligence Characterization Method of Semiconductor Device.},
year = {2005},
booktitle = {DATE},
author = {{Eric Liau} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE Computer Society},
booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany}
}
@inproceedings{conf/ecctd/FischerANTHS05,
title = {Impact of process parameter variations on the energy dissipation in adiabatic logic.},
year = {2005},
booktitle = {ECCTD},
author = {{Jürgen Fischer} and {Ettore Amirante} and {Thomas Nirschl} and {Philip Teichmann} and {Stephan Henzler} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {Proceedings of the 2005 European Conference on Circuit Theory and Design, ECCTD 2005, Cork, Ireland, August 29th - September 1st 2005}
}
@inproceedings{conf/esscirc/BargagliStoffiSTS05,
title = {A 0.6V 100dB 5.2MHz transconductance amplifier realized in a multi-VT process.},
year = {2005},
booktitle = {ESSCIRC},
author = {{Agnese Bargagli-Stoffi} and {Jens Sauerbrey} and {Roland Thewes} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {Proceedings of the 31st European Solid-State Circuits Conference, ESSCIRC 2005, Grenoble, France, 12-16 September 2005}
}
@inproceedings{conf/esscirc/HenzlerNPSTFFEFGBS05,
title = {Dynamic state-retention flip flop for fine-grained sleep-transistor scheme.},
year = {2005},
booktitle = {ESSCIRC},
author = {{Stephan Henzler} and {Thomas Nirschi} and {Christian Pacha} and {Peter Spindler} and {Philip Teichmann} and {Michael Fulde} and {Jürgen Fischer} and {Matthias Eireiner} and {Thomas Fischer} and {Georg Georgakos} and {Jörg Berthold} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {Proceedings of the 31st European Solid-State Circuits Conference, ESSCIRC 2005, Grenoble, France, 12-16 September 2005}
}
@inproceedings{conf/gi/FischerTAS05,
title = {Energieoptimierung durch Adiabatische Schaltungstechnik.},
year = {2005},
booktitle = {GI Jahrestagung (1)},
author = {{Jürgen Fischer} and {Philip Teichmann} and {Ettore Amirante} and {Doris Schmitt-Landsiedel}},
publisher = {GI},
booktitle = {35. Jahrestagung der Gesellschaft für Informatik, Informatik LIVE!, INFORMATIK 2005, Bonn, Germany, September 19-22, 2005, Band 1}
}
@inproceedings{conf/iscas/EversmannJHPHTSLKZMJF05,
title = {CMOS sensor array for electrical imaging of neuronal activity.},
year = {2005},
booktitle = {ISCAS (4)},
author = {{Björn Eversmann} and {Martin Jenkner} and {Franz Hofmann} and {Christian Paulus} and {Birgit Holzapfl} and {Roland Thewes} and {Doris Schmitt-Landsiedel} and {Armin Lambacher} and {Alexander Kaul} and {Ralf Zeitler} and {Matthias Merz} and {Alexander Kunze} and {Peter Fromherz}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan}
}
@inproceedings{conf/itc/LiauS05,
title = {Computational intelligence based testing for semiconductor measurement systems.},
year = {2005},
booktitle = {ITC},
author = {{Eric Liau} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 2005 IEEE International Test Conference, ITC 2005, Austin, TX, USA, November 8-10, 2005}
}
@inproceedings{conf/patmos/TeichmannFHAS05,
title = {Power-Clock Gating in Adiabatic Logic Circuits.},
year = {2005},
booktitle = {PATMOS},
author = {{Philip Teichmann} and {Jürgen Fischer} and {Stephan Henzler} and {Ettore Amirante} and {Doris Schmitt-Landsiedel}},
publisher = {Springer},
booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 15th International Workshop, PATMOS 2005, Leuven, Belgium, September 21-23, 2005, Proceedings}
}
@article{journals/mr/StreiblZESSGDS05,
title = {High abstraction level permutational ESD concept analysis.},
year = {2005},
journal = {Microelectron. Reliab.},
author = {{Martin Streibl} and {Franz Zängl} and {Kai Esmark} and {Robert Schwencker} and {Wolfgang Stadler} and {Harald Gossner} and {S. Drüen} and {Doris Schmitt-Landsiedel}}
}
@inproceedings{conf/isscc/AugustyniakPBPH06,
title = {A 24x16 CMOS-Based Chronocoulometric DNA Microarray.},
year = {2006},
booktitle = {ISSCC},
author = {{Marcin K. Augustyniak} and {Christian Paulus} and {Ralf Brederlow} and {N. Persike} and {Gerhard Hartwich} and {Doris Schmitt-Landsiedel} and {Roland Thewes}},
publisher = {IEEE},
booktitle = {2006 IEEE International Solid State Circuits Conference, ISSCC 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}
}
@inproceedings{conf/isscc/LuftnerBPGSHBMJ06,
title = {A 90nm CMOS low-power GSM/EDGE multimedia-enhanced baseband processor with 380MHz ARM9 and mixed-signal extensions.},
year = {2006},
booktitle = {ISSCC},
author = {{Thomas Lüftner} and {Jörg Berthold} and {Christian Pacha} and {Georg Georgakos} and {Guillaume Sauzon} and {Olaf Hömke} and {Jurij Beshenar} and {Peter Mahrla} and {Knut M. Just} and {Peter Hober} and {Stephan Henzler} and {Doris Schmitt-Landsiedel} and {Andre Yakovleff} and {Axel Klein} and {Richard J. Knight} and {Pramod Acharya} and {Hamid Mabrouki} and {Goulhamid Juhoor} and {Matthias Sauer 001}},
publisher = {IEEE},
booktitle = {2006 IEEE International Solid State Circuits Conference, ISSCC 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}
}
@inproceedings{conf/itc/HongMJS06,
title = {Pattern Pruner: Automatic Pattern Size Reduction Method that Uses Computational Intelligence-Based Testing.},
year = {2006},
booktitle = {ITC},
author = {{Eric Liau Chee Hong} and {Manfred Menke} and {Thomas Janik} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE Computer Society},
booktitle = {2006 IEEE International Test Conference, ITC 2006, Santa Clara, CA, USA, October 22-27, 2006}
}
@article{journals/jssc/HenzlerGENPBS06,
title = {Dynamic state-retention flip-flop for fine-grained power gating with small design and power overhead.},
year = {2006},
journal = {IEEE J. Solid State Circuits},
author = {{Stephan Henzler} and {Georg Georgakos} and {Matthias Eireiner} and {Thomas Nirschl} and {Christian Pacha} and {Jörg Berthold} and {Doris Schmitt-Landsiedel}}
}
@inproceedings{conf/esscirc/BauerAPSFNJXSCS07,
title = {Layout options for stability tuning of SRAM cells in multi-gate-FET technologies.},
year = {2007},
booktitle = {ESSCIRC},
author = {{Florian Bauer} and {Klaus von Arnim} and {Christian Pacha} and {Thomas Schulz} and {Michael Fulde} and {Axel Nackaerts} and {M. Jurczak} and {Wade Xiong} and {K. T. San} and {C. Rinn Cleavelin} and {Klaus Schruefer} and {Georg Georgakos} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {33rd European Solid-State Circuits Conference, ESSCIRC 2007, Munich, Germany, 11-13 September 2007}
}
@inproceedings{conf/esscirc/BaumannBNSDSP07,
title = {Performance improvement of embedded low-power microprocessor cores by selective flip flop replacement.},
year = {2007},
booktitle = {ESSCIRC},
author = {{Thomas Baumann} and {Jörg Berthold} and {T. Niedermeier} and {Tim Schoenauer} and {J. Dienstuhl} and {Doris Schmitt-Landsiedel} and {Christian Pacha}},
publisher = {IEEE},
booktitle = {33rd European Solid-State Circuits Conference, ESSCIRC 2007, Munich, Germany, 11-13 September 2007}
}
@inproceedings{conf/esscirc/FuldeMGPSABSSK07,
title = {Analog design challenges and trade-offs using emerging materials and devices.},
year = {2007},
booktitle = {ESSCIRC},
author = {{Michael Fulde} and {Abdelkarim Mercha} and {Cedric Gustin} and {Bertrand Parvais} and {Vaidyanathan Subramanian} and {Klaus von Arnim} and {Florian Bauer} and {Klaus Schruefer} and {Doris Schmitt-Landsiedel} and {Gerhard Knoblinger}},
publisher = {IEEE},
booktitle = {33rd European Solid-State Circuits Conference, ESSCIRC 2007, Munich, Germany, 11-13 September 2007}
}
@inproceedings{conf/esscirc/HenzlerKLKKS07,
title = {Variation tolerant high resolution and low latency time-to-digital converter.},
year = {2007},
booktitle = {ESSCIRC},
author = {{Stephan Henzler} and {Siegmar Koeppe} and {Dominik Lorenz} and {Winfried Kamp} and {Ronald Kuenemund} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {33rd European Solid-State Circuits Conference, ESSCIRC 2007, Munich, Germany, 11-13 September 2007}
}
@inproceedings{conf/esscirc/WendtTWS07,
title = {A configurable High-Side/ low-Side Driver.},
year = {2007},
booktitle = {ESSCIRC},
author = {{Michael Wendt} and {Lenz Thoma} and {Bernhard Wicht} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {33rd European Solid-State Circuits Conference, ESSCIRC 2007, Munich, Germany, 11-13 September 2007}
}
@inproceedings{conf/icecsys/FuldeAPBRSXMCSSK07,
title = {Advances in Multi-Gate MOSFET Circuit Design.},
year = {2007},
booktitle = {ICECS},
author = {{Michael Fulde} and {Klaus von Arnim} and {Christian Pacha} and {Florian Bauer} and {Christian Russ} and {Domagoj Siprak} and {Wade Xiong} and {Andrew Marshall} and {C. Rinn Cleavelin} and {Klaus Schruefer} and {Doris Schmitt-Landsiedel} and {Gerhard Knoblinger}},
publisher = {IEEE},
booktitle = {14th IEEE International Conference on Electronics, Circuits, and Systems, ICECS 2007, Marrakech, Morocco, December 11-14, 2007}
}
@inproceedings{conf/iscas/FuldeSK07,
title = {Transient Variations in Emerging SOI Technologies: Modeling and Impact on Analog/Mixed-Signal Circuits.},
year = {2007},
booktitle = {ISCAS},
author = {{Michael Fulde} and {Doris Schmitt-Landsiedel} and {Gerhard Knoblinger}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA}
}
@inproceedings{conf/isscc/AugustyniakWBMETTSPESTB07,
title = {An Integrated Gravimetric FBAR Circuit for Operation in Liquids Using a Flip-Chip Extended 0.13μm CMOS Technology.},
year = {2007},
booktitle = {ISSCC},
author = {{Marcin K. Augustyniak} and {W. Weber} and {Gottfried Beer} and {Hans Mulatz} and {L. Elbrecht} and {H.-J. Timme} and {Marc Tiebout} and {Werner Simbürger} and {Christian Paulus} and {Björn Eversmann} and {Doris Schmitt-Landsiedel} and {Roland Thewes} and {Ralf Brederlow}},
publisher = {IEEE},
booktitle = {2007 IEEE International Solid-State Circuits Conference, ISSCC 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}
}
@inproceedings{conf/isscc/SoldnerKSGLS07,
title = {A 10GHz Broadband Amplifier with Bootstrapped 2kV ESD Protection.},
year = {2007},
booktitle = {ISSCC},
author = {{Wolfgang Soldner} and {Moon-Jung Kim} and {Martin Streibl} and {Harald Gossner} and {Thomas H. Lee} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {2007 IEEE International Solid-State Circuits Conference, ISSCC 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}
}
@article{journals/jssc/EireinerHGBS07,
title = {In-Situ Delay Characterization and Local Supply Voltage Adjustment for Compensation of Local Parametric Variations.},
year = {2007},
journal = {IEEE J. Solid State Circuits},
author = {{Matthias Eireiner} and {Stephan Henzler} and {Georg Georgakos} and {Jörg Berthold} and {Doris Schmitt-Landsiedel}}
}
@article{journals/jssc/KohSTB07,
title = {A Complementary Switched MOSFET Architecture for the 1/f Noise Reduction in Linear Analog CMOS ICs.},
year = {2007},
journal = {IEEE J. Solid State Circuits},
author = {{Jeongwook Koh} and {Doris Schmitt-Landsiedel} and {Roland Thewes} and {Ralf Brederlow}}
}
@article{journals/jssc/LuftnerBPGSHBMJ07,
title = {A 90-nm CMOS Low-Power GSM/EDGE Multimedia-Enhanced Baseband Processor With 380-MHz ARM926 Core and Mixed-Signal Extensions.},
year = {2007},
journal = {IEEE J. Solid State Circuits},
author = {{Thomas Lüftner} and {Jörg Berthold} and {Christian Pacha} and {Georg Georgakos} and {Guillaume Sauzon} and {Olaf Hömke} and {Jurij Beshenar} and {Peter Mahrla} and {Knut M. Just} and {Peter Hober} and {Stephan Henzler} and {Doris Schmitt-Landsiedel} and {Andre Yakovleff} and {Axel Klein} and {Richard J. Knight} and {Pramod Acharya} and {Andre Bonnardot} and {Steffen Buch} and {Matthias Sauer 001}}
}
@article{journals/mr/SoldnerSHTGSCID07,
title = {RF ESD protection strategies: Codesign vs. low-C protection.},
year = {2007},
journal = {Microelectron. Reliab.},
author = {{Wolfgang Soldner} and {Martin Streibl} and {U. Hodel} and {Marc Tiebout} and {Harald Gossner} and {Doris Schmitt-Landsiedel} and {Jung-Hoon Chun} and {Choshu Ito} and {Robert W. Dutton}}
}
@inproceedings{conf/isscc/HenzlerKKMS08,
title = {90nm 4.7ps-Resolution 0.7-LSB Single-Shot Precision and 19pJ-per-Shot Local Passive Interpolation Time-to-Digital Converter with On-Chip Characterization.},
year = {2008},
booktitle = {ISSCC},
author = {{Stephan Henzler} and {Siegmar Koeppe} and {Winfried Kamp} and {Hans Mulatz} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {2008 IEEE International Solid-State Circuits Conference, ISSCC 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}
}
@inproceedings{conf/itc/NirmaierZLSRS08,
title = {Efficient High-Speed Interface Verification and Fault Analysis.},
year = {2008},
booktitle = {ITC},
author = {{Thomas Nirmaier} and {Jose Torres Zaguirre} and {Eric Liau} and {Wolfgang Spirkl} and {Armin Rettenberger} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE Computer Society},
booktitle = {2008 IEEE International Test Conference, ITC 2008, Santa Clara, California, USA, October 26-31, 2008}
}
@inproceedings{conf/patmos/BauerGS08,
title = {A Design Space Comparison of 6T and 8T SRAM Core-Cells.},
year = {2008},
booktitle = {PATMOS},
author = {{Florian Bauer} and {Georg Georgakos} and {Doris Schmitt-Landsiedel}},
publisher = {Springer},
booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 18th International Workshop, PATMOS 2008, Lisbon, Portugal, September 10-12, 2008. Revised Selected Papers}
}
@inproceedings{conf/patmos/LiSSTS08,
title = {Latched CMOS DRAM Sense Amplifier Yield Analysis and Optimization.},
year = {2008},
booktitle = {PATMOS},
author = {{Yan Li 030} and {Helmut Schneider} and {Florian Schnabel 002} and {Roland Thewes} and {Doris Schmitt-Landsiedel}},
publisher = {Springer},
booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 18th International Workshop, PATMOS 2008, Lisbon, Portugal, September 10-12, 2008. Revised Selected Papers}
}
@article{journals/jssc/HenzlerKLKKS08,
title = {A Local Passive Time Interpolation Concept for Variation-Tolerant High-Resolution Time-to-Digital Conversion.},
year = {2008},
journal = {IEEE J. Solid State Circuits},
author = {{Stephan Henzler} and {Siegmar Koeppe} and {Dominik Lorenz} and {Winfried Kamp} and {Ronald Kuenemund} and {Doris Schmitt-Landsiedel}}
}
@article{journals/jssc/WendtTWS08,
title = {A Configurable High-Side/Low-Side Driver With Fast and Equalized Switching Delay.},
year = {2008},
journal = {IEEE J. Solid State Circuits},
author = {{Michael Wendt} and {Lenz Thoma} and {Bernhard Wicht} and {Doris Schmitt-Landsiedel}}
}
@inproceedings{conf/dac/BaumannSP09,
title = {Architectural assessment of design techniques to improve speed and robustness in embedded microprocessors.},
year = {2009},
booktitle = {DAC},
author = {{Thomas Baumann} and {Doris Schmitt-Landsiedel} and {Christian Pacha}},
publisher = {ACM},
booktitle = {Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009}
}
@inproceedings{conf/esscirc/DrapatzFHAHOGS09,
title = {Fast stability analysis of large-scale SRAM arrays and the impact of NBTI degradation.},
year = {2009},
booktitle = {ESSCIRC},
author = {{Stefan Drapatz} and {Thomas Fischer} and {Karl Hofmann} and {Ettore Amirante} and {Peter Huber} and {Martin Ostermayr} and {Georg Georgakos} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {35th European Solid-State Circuits Conference, ESSCIRC 2009, Athens, Greece, 14-18 September 2009.}
}
@inproceedings{conf/iscas/FuldeWKS09,
title = {Design of Low-Voltage Bandgap Reference Circuits in Multi-Gate CMOS Technologies.},
year = {2009},
booktitle = {ISCAS},
author = {{Michael Fulde} and {Martin Wirnshofer} and {Gerhard Knoblinger} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2009), 24-17 May 2009, Taipei, Taiwan}
}
@inproceedings{conf/iscas/TeichmannFS09,
title = {A Robust Synchronized 2N2P LC Oscillator with a Shut-down Mode for Adiabatic Logic Circuits.},
year = {2009},
booktitle = {ISCAS},
author = {{Philip Teichmann} and {Jürgen Fischer} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2009), 24-17 May 2009, Taipei, Taiwan}
}
@inproceedings{conf/iscas/WeisPKEMS09,
title = {Adder Circuits with Transistors using Independently Controlled Gates.},
year = {2009},
booktitle = {ISCAS},
author = {{Marcus Weis} and {Andrzej Pfitzner} and {Dominik Kasprowicz} and {Rainer Emling} and {Wojciech Maly} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2009), 24-17 May 2009, Taipei, Taiwan}
}
@inproceedings{conf/isscc/BechererCEPLS09,
title = {Field-coupled nanomagnets for interconnect-free nonvolatile computing.},
year = {2009},
booktitle = {ISSCC},
author = {{Markus Becherer} and {György Csaba} and {Rainer Emling} and {Wolfgang Porod} and {Paolo Lugli} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}
}
@inproceedings{conf/issoc/EireinerSWSHF09,
title = {Adaptive circuit block model for power supply noise analysis of low power system-on-chip.},
year = {2009},
booktitle = {SoC},
author = {{Matthias Eireiner} and {Doris Schmitt-Landsiedel} and {Paul Wallner} and {Andreas Schöne} and {Stephan Henzler} and {Ulrich Fiedler}},
publisher = {IEEE},
booktitle = {2008 IEEE International Symposium on System-on-Chip, SOC 2009, Tampere, Finland, October 6-7, 2008}
}
@inproceedings{conf/esscirc/ChouardFS10,
title = {Reliability assessment of voltage controlled oscillators in 32nm high-κ metal gate technology.},
year = {2010},
booktitle = {ESSCIRC},
author = {{Florian Chouard} and {Michael Fulde} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {36th European Solid-State Circuits Conference, ESSCIRC 2010, Sevilla, Spain, September 13-17, 2010}
}
@incollection{books/sp/hubner2011/TeichHHSSS11,
title = {Invasive Computing: An Overview.},
year = {2011},
booktitle = {Multiprocessor System-on-Chip},
author = {{Jürgen Teich} and {Jörg Henkel} and {Andreas Herkersdorf} and {Doris Schmitt-Landsiedel} and {Wolfgang Schröder-Preikschat} and {Gregor Snelting}},
publisher = {Springer},
booktitle = {Multiprocessor System-on-Chip - Hardware Design and Tool Integration.}
}
@inproceedings{conf/ddecs/ChouardMFS11,
title = {An analog perspective on device reliability in 32nm high-κ metal gate technology.},
year = {2011},
booktitle = {DDECS},
author = {{Florian Chouard} and {Shailesh More} and {Michael Fulde} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE Computer Society},
booktitle = {14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2011, Cottbus, Germany, April 13-15, 2011}
}
@inproceedings{conf/ddecs/WirnshoferHGS11,
title = {A variation-aware adaptive voltage scaling technique based on in-situ delay monitoring.},
year = {2011},
booktitle = {DDECS},
author = {{Martin Wirnshofer} and {Leonhard Heiß} and {Georg Georgakos} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE Computer Society},
booktitle = {14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2011, Cottbus, Germany, April 13-15, 2011}
}
@inproceedings{conf/esscirc/ChouardMFS11,
title = {An aging suppression and calibration approach for differential amplifiers in advanced CMOS technologies.},
year = {2011},
booktitle = {ESSCIRC},
author = {{Florian Chouard} and {Shailesh More} and {Michael Fulde} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {Proceedings of the 37th European Solid-State Circuits Conference, ESSCIRC 2011, Helsinki, Finland, Sept. 12-16, 2011}
}
@inproceedings{conf/isqed/MoreFCS11,
title = {Reducing impact of degradation on analog circuits by chopper stabilization and autozeroing.},
year = {2011},
booktitle = {ISQED},
author = {{Shailesh More} and {Michael Fulde} and {Florian Chouard} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {Proceedings of the 12th International Symposium on Quality Electronic Design, ISQED 2011, Santa Clara, California, USA, 14-16 March 2011}
}
@article{journals/tcas/LiSSTS11,
title = {DRAM Yield Analysis and Optimization by a Statistical Design Approach.},
year = {2011},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Yan Li 030} and {Helmut Schneider} and {Florian Schnabel 002} and {Roland Thewes} and {Doris Schmitt-Landsiedel}}
}
@inproceedings{conf/ddecs/WirnshoferHKAGS12,
title = {Adaptive voltage scaling by in-situ delay monitoring for an image processing circuit.},
year = {2012},
booktitle = {DDECS},
author = {{Martin Wirnshofer} and {Leonhard Heiß} and {Anil Narayan Kakade} and {Nasim Pour Aryan} and {Georg Georgakos} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2012, Tallinn, Estonia, April 18-20, 2012}
}
@inproceedings{conf/isscc/JefremowKBPPRKTS12,
title = {Bitline-capacitance-cancelation sensing scheme with 11ns read latency and maximum read throughput of 2.9GB/s in 65nm embedded flash for automotive.},
year = {2012},
booktitle = {ISSCC},
author = {{Mihail Jefremow} and {Thomas Kern} and {Ulrich Backhausen} and {Christian Peters} and {Christoph Parzinger} and {Christoph Roll} and {Stephan Kassenetter} and {Stefanie Thierold} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {2012 IEEE International Solid-State Circuits Conference, ISSCC 2012, San Francisco, CA, USA, February 19-23, 2012}
}
@article{journals/jcsc/WirnshoferAHSG12,
title = {On-Line Supply voltage Scaling Based on in situ Delay Monitoring to Adapt for Pvta variations.},
year = {2012},
journal = {J. Circuits Syst. Comput.},
author = {{Martin Wirnshofer} and {Nasim Pour Aryan} and {Leonhard Heiß} and {Doris Schmitt-Landsiedel} and {Georg Georgakos}}
}
@inproceedings{conf/esscirc/JefremowKBERRCR13,
title = {A 65nm 4MB embedded flash macro for automotive achieving a read throughput of 5.7GB/s and a write throughput of 1.4MB/s.},
year = {2013},
booktitle = {ESSCIRC},
author = {{Mihail Jefremow} and {Thomas Kern} and {Ulrich Backhausen} and {J. Elbs} and {B. Rousseau} and {Christoph Roll} and {L. Castro} and {T. Roehr} and {E. Paparisto} and {K. Herfurth} and {R. Bartenschlager} and {Stefanie Thierold} and {R. Renardy} and {Stephan Kassenetter} and {N. Lawal} and {M. Strasser} and {W. Trottmann} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {ESSCIRC 2013 - Proceedings of the 39th European Solid-State Circuits Conference, Bucharest, Romania, September 16-20, 2013}
}
@inproceedings{conf/essderc/BechererKBECS13,
title = {Nanomagnetic logic clocked in the MHz regime.},
year = {2013},
booktitle = {ESSDERC},
author = {{Markus Becherer} and {Josef Kiermaier} and {Stephan Breitkreutz} and {Irina Eichwald} and {György Csaba} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {Proceedings of the European Solid-State Device Research Conference, ESSDERC 2013, Bucharest, Romania, September 16-20, 2013}
}
@inproceedings{conf/isscc/JefremowKAPOBHAKS13,
title = {Time-differential sense amplifier for sub-80mV bitline voltage embedded STT-MRAM in 40nm CMOS.},
year = {2013},
booktitle = {ISSCC},
author = {{Mihail Jefremow} and {Thomas Kern} and {Wolf Allers} and {Christian Peters} and {Jan Otterstedt} and {Othmane Bahlous} and {Karl Hofmann} and {Robert Allinger} and {Stephan Kassenetter} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {2013 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, ISSCC 2013, San Francisco, CA, USA, February 17-21, 2013}
}
@inproceedings{conf/patmos/AryanGS13,
title = {Reliability monitoring of digital circuits by in situ timing measurement.},
year = {2013},
booktitle = {PATMOS},
author = {{Nasim Pour Aryan} and {Georg Georgakos} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {2013 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Karlsruhe, Germany, September 9-11, 2013}
}
@inproceedings{conf/iolts/AryanLHYGS14,
title = {From an analytic NBTI device model to reliability assessment of complex digital circuits.},
year = {2014},
booktitle = {IOLTS},
author = {{Nasim Pour Aryan} and {A. Listl} and {Leonhard Heiß} and {Cenk Yilmaz} and {Georg Georgakos} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {2014 IEEE 20th International On-Line Testing Symposium, IOLTS 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}
}
@inproceedings{conf/patmos/AryanHWHPPGPS14,
title = {Power efficient digital IC design for a medical application with high reliability requirements.},
year = {2014},
booktitle = {PATMOS},
author = {{Nasim Pour Aryan} and {Nils Heidmann} and {Martin Wirnshofer} and {Nico Hellwege} and {Jonas Pistor} and {Dagmar Peters-Drolshagen} and {Georg Georgakos} and {Steffen Paul} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {24th International Workshop on Power and Timing Modeling, Optimization and Simulation, PATMOS), Palma de Mallorca, Spain, September 29 - Oct. 1, 2014}
}
@article{journals/corr/GlockerCZSS14,
title = {Emulated ASIC Power and Temperature Monitor System for FPGA Prototyping of an Invasive MPSoC Computing Architecture.},
year = {2014},
journal = {CoRR},
author = {{Elisabeth Glocker} and {Qingqing Chen 004} and {Asheque M. Zaidi} and {Ulf Schlichtmann} and {Doris Schmitt-Landsiedel}}
}
@article{journals/iet-cds/WernerBWS14,
title = {Resilience and yield of flip-flops in future CMOS technologies under process variations and aging.},
year = {2014},
journal = {IET Circuits Devices Syst.},
author = {{Christoph Werner} and {Benedikt Backs} and {Martin Wirnshofer} and {Doris Schmitt-Landsiedel}}
}
@article{journals/mr/KleebergerBWSS14,
title = {A compact model for NBTI degradation and recovery under use-profile variations and its application to aging analysis of digital integrated circuits.},
year = {2014},
journal = {Microelectron. Reliab.},
author = {{Veit B. Kleeberger} and {Martin Barke} and {Christoph Werner} and {Doris Schmitt-Landsiedel} and {Ulf Schlichtmann}}
}
@article{journals/tvlsi/LuedersEGHKZSB14,
title = {Architectural and Circuit Design Techniques for Power Management of Ultra-Low-Power MCU Systems.},
year = {2014},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Michael Lueders} and {Björn Eversmann} and {Johannes Gerber} and {Korbinian Huber} and {Rüdiger Kuhn} and {Michael Zwerg} and {Doris Schmitt-Landsiedel} and {Ralf Brederlow}}
}
@inproceedings{conf/esscirc/JefremowSKSR15,
title = {Slope only sense amplifier with 4.5ns sense delay for 8Mbit memory sector, employing in situ current monitoring with 66\% write speed improvement in 40nm embedded flash for automotive.},
year = {2015},
booktitle = {ESSCIRC},
author = {{Mihail Jefremow} and {Doris Schmitt-Landsiedel} and {Thomas Kern} and {Martin Stiftinger} and {Christoph Roll}},
publisher = {IEEE},
booktitle = {ESSCIRC Conference 2015 - 41st European Solid-State Circuits Conference, Graz, Austria, September 14-18, 2015}
}
@inproceedings{conf/essderc/ZiemysGBESG15,
title = {Modelling and simulation of nanomagnetic logic with cadence virtuoso using Verilog-A.},
year = {2015},
booktitle = {ESSDERC},
author = {{Grazvydas Ziemys} and {Andrew Giebfried} and {Markus Becherer} and {Irina Eichwald} and {Doris Schmitt-Landsiedel} and {Stephan Breitkreutz-v. Gamm}},
publisher = {IEEE},
booktitle = {45th European Solid State Device Research Conference, ESSDERC 2015, Graz, Austria, September 14-18, 2015}
}
@inproceedings{conf/eurfid/StubenrauchSSLS15,
title = {A 13.56MHz class e power amplifier for inductively coupled DC supply with 95\% power added efficiency (PAE).},
year = {2015},
booktitle = {EURFID},
author = {{Franz Johann Stubenrauch} and {Norbert Seliger} and {Maximilian Schustek} and {Alexey Lebedev} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {2015 International EURASIP Workshop on RFID Technology, EURFID 2015, Rosenheim, Germany, October 22-23, 2015}
}
@inproceedings{conf/recosoc/GlockerCZSS15,
title = {Emulation of an ASIC power and temperature monitor system for FPGA prototyping.},
year = {2015},
booktitle = {ReCoSoC},
author = {{Elisabeth Glocker} and {Qingqing Chen 004} and {Asheque M. Zaidi} and {Ulf Schlichtmann} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, ReCoSoC 2015, Bremen, Germany, June 29 - July 1, 2015}
}
@inproceedings{conf/apccas/KongHSS16,
title = {A 9-bit, 1.08ps resolution two-step time-to-digital converter in 65 nm CMOS for time-mode ADC.},
year = {2016},
booktitle = {APCCAS},
author = {{Junjie Kong} and {Stephan Henzler} and {Doris Schmitt-Landsiedel} and {Liter Siek}},
publisher = {IEEE},
booktitle = {2016 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2016, Jeju, South Korea, October 25-28, 2016}
}
@inproceedings{conf/ets/AryanFBYSG16,
title = {In situ measurement of aging-induced performance degradation in digital circuits.},
year = {2016},
booktitle = {ETS},
author = {{Nasim Pour Aryan} and {Christian Funke} and {Jens Bargfrede} and {Cenk Yilmaz} and {Doris Schmitt-Landsiedel} and {Georg Georgakos}},
publisher = {IEEE},
booktitle = {21th IEEE European Test Symposium, ETS 2016, Amsterdam, Netherlands, May 23-27, 2016}
}
@inproceedings{conf/icrc/RienteZTSGG16,
title = {Towards Logic-In-Memory circuits using 3D-integrated Nanomagnetic logic.},
year = {2016},
booktitle = {ICRC},
author = {{Fabrizio Riente} and {Grazvydas Ziemys} and {Giovanna Turvani} and {Doris Schmitt-Landsiedel} and {Stephan Breitkreutz-v. Gamm} and {Mariagrazia Graziano}},
publisher = {IEEE Computer Society},
booktitle = {IEEE International Conference on Rebooting Computing, ICRC 2016, San Diego, CA, USA, October 17-19, 2016}
}
@inproceedings{conf/ieeesensors/KinzelBLVISM16,
title = {A novel micropump driver used in environmental sensor applications.},
year = {2016},
booktitle = {IEEE SENSORS},
author = {{Bernadette Kinzel} and {Detlef Bonfert} and {Florian Lippert} and {Frank Vanselow} and {Erkan Isa} and {Doris Schmitt-Landsiedel} and {Linus Maurer}},
publisher = {IEEE},
booktitle = {2016 IEEE SENSORS, Orlando, FL, USA, October 30 - November 3, 2016}
}
@inproceedings{conf/isscc/SievertDBBRKBS16,
title = {2.9 A 2GHz 244fs-resolution 1.2ps-Peak-INL edge-interpolator-based digital-to-time converter in 28nm CMOS.},
year = {2016},
booktitle = {ISSCC},
author = {{Sebastian Sievert} and {Ofir B. Degani} and {Assaf Ben Bassat} and {Rotem Banin} and {Ashoke Ravi} and {Bernd-Ulrich Klepser} and {Zdravko Boos} and {Doris Schmitt-Landsiedel}},
publisher = {IEEE},
booktitle = {2016 IEEE International Solid-State Circuits Conference, ISSCC 2016, San Francisco, CA, USA, January 31 - February 4, 2016}
}
@article{journals/it/PaganiBCGHHKPSS16,
title = {Dark silicon management: an integrated and coordinated cross-layer approach.},
year = {2016},
journal = {it Inf. Technol.},
author = {{Santiago Pagani} and {Lars Bauer} and {Qingqing Chen 004} and {Elisabeth Glocker} and {Frank Hannig} and {Andreas Herkersdorf} and {Heba Khdr} and {Anuj Pathania} and {Ulf Schlichtmann} and {Doris Schmitt-Landsiedel} and {Mark Sagi} and {Éricles Sousa} and {Philipp Wagner 001} and {Volker Wenzel} and {Thomas Wild} and {Jörg Henkel}}
}
@article{journals/jssc/SievertDBBRTKBS16,
title = {A 2 GHz 244 fs-Resolution 1.2 ps-Peak-INL Edge Interpolator-Based Digital-to-Time Converter in 28 nm CMOS.},
year = {2016},
journal = {IEEE J. Solid State Circuits},
author = {{Sebastian Sievert} and {Ofir Degani} and {Assaf Ben Bassat} and {Rotem Banin} and {Ashoke Ravi} and {Wolfgang Thomann} and {Bernd-Ulrich Klepser} and {Zdravko Boos} and {Doris Schmitt-Landsiedel}}
}
@article{journals/mr/HommelKASSSH16,
title = {Scanning Microwave Microscopy for Electronic Device Analysis on Nanometre Scale.},
year = {2016},
journal = {Microelectron. Reliab.},
author = {{Sören Hommel} and {Nicole Killat} and {Andreas Altes} and {Thomas Schweinböck} and {Doris Schmitt-Landsiedel} and {Marco Silvestri} and {Oliver Haeberlen}}
}
@inproceedings{conf/cicc/SantoroKGRTSB17,
title = {A 92.1\% efficient DC-DC converter for ultra-low power microcontrollers with fast wake-up.},
year = {2017},
booktitle = {CICC},
author = {{Francesco Santoro} and {Rüdiger Kuhn} and {Neil Gibson} and {Nicola Rasera} and {Thomas Tost} and {Doris Schmitt-Landsiedel} and {Ralf Brederlow}},
publisher = {IEEE},
booktitle = {2017 IEEE Custom Integrated Circuits Conference, CICC 2017, Austin, TX, USA, April 30 - May 3, 2017}
}
@article{journals/mam/GlockerCSS17,
title = {Emulation of an ASIC power and temperature monitoring system (eTPMon) for FPGA prototyping.},
year = {2017},
journal = {Microprocess. Microsystems},
author = {{Elisabeth Glocker} and {Qingqing Chen 004} and {Ulf Schlichtmann} and {Doris Schmitt-Landsiedel}}
}