Fernando Santos

Orcid: 0000-0002-3504-9862

Affiliations:
  • Inria Rennes, Fance
  • Federal University of Rio Grande do Sul, Institute of Informatics, Porto Alegre, Brazil (former)


According to our database1, Fernando Santos authored at least 31 papers between 2016 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Understanding the Effects of Permanent Faults in GPU's Parallelism Management and Control Units.
Proceedings of the International Conference for High Performance Computing, 2023

Understanding and Improving GPUs' Reliability Combining Beam Experiments with Fault Simulation.
Proceedings of the IEEE European Test Symposium, 2023

2022
Convolutional neural network errors.
Dataset, May, 2022

Reduced Precision DWC: An Efficient Hardening Strategy for Mixed-Precision Architectures.
IEEE Trans. Computers, 2022

Characterizing a Neutron-Induced Fault Model for Deep Neural Networks.
CoRR, 2022

Fault-Aware Design and Training to Enhance DNNs Reliability with Zero-Overhead.
CoRR, 2022

A Multi-level Approach to Evaluate the Impact of GPU Permanent Faults on CNN's Reliability.
Proceedings of the IEEE International Test Conference, 2022

Evaluating the Impact of Mixed-Precision on Fault Propagation for Deep Neural Networks on GPUs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

Experimental evaluation of neutron-induced errors on a multicore RISC-V platform.
Proceedings of the 28th IEEE International Symposium on On-Line Testing and Robust System Design, 2022

Transient-Fault-Aware Design and Training to Enhance DNNs Reliability with Zero-Overhead.
Proceedings of the 28th IEEE International Symposium on On-Line Testing and Robust System Design, 2022

2021
Thermal neutrons: a possible threat for supercomputer reliability.
J. Supercomput., 2021

Experimental Findings on the Sources of Detected Unrecoverable Errors in GPUs.
CoRR, 2021

Combining Architectural Simulation and Software Fault Injection for a Fast and Accurate CNNs Reliability Evaluation on GPUs.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

Demystifying GPU Reliability: Comparing and Combining Beam Experiments, Fault Simulation, and Profiling.
Proceedings of the 35th IEEE International Parallel and Distributed Processing Symposium, 2021

Protecting GPU's Microarchitectural Vulnerabilities via Effective Selective Hardening.
Proceedings of the 27th IEEE International Symposium on On-Line Testing and Robust System Design, 2021

Revealing GPUs Vulnerabilities by Combining Register-Transfer and Software-Level Fault Injection.
Proceedings of the 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2021

2020
Reduced-Precision DWC for Mixed-Precision GPUs.
Proceedings of the 26th IEEE International Symposium on On-Line Testing and Robust System Design, 2020

Thermal Neutrons: a Possible Threat for Supercomputers and Safety Critical Applications.
Proceedings of the IEEE European Test Symposium, 2020

2019
Analyzing and Increasing the Reliability of Convolutional Neural Networks on GPUs.
IEEE Trans. Reliab., 2019

Kernel and layer vulnerability factor to evaluate object detection reliability in GPUs.
IET Comput. Digit. Tech., 2019

Detecting Errors in Convolutional Neural Networks Using Inter Frame Spatio-Temporal Correlation.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

Reliability Evaluation of Mixed-Precision Architectures.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

Impact of Reduced Precision in the Reliability of Deep Neural Networks for Object Detection.
Proceedings of the 24th IEEE European Test Symposium, 2019

2018
Special session: How approximate computing impacts verification, test and reliability.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

Code-Dependent and Architecture-Dependent Reliability Behaviors.
Proceedings of the 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2018

2017
Performance and energy efficiency analysis of HPC physics simulation applications in a cluster of ARM processors.
Concurr. Comput. Pract. Exp., 2017

Analyzing the criticality of transient faults-induced SDCS on GPU applications.
Proceedings of the 8th Workshop on Latest Advances in Scalable Algorithms for Large-Scale Systems, 2017

Radiation-Induced Error Criticality in Modern HPC Parallel Accelerators.
Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture, 2017

Evaluation and Mitigation of Soft-Errors in Neural Network-Based Object Detection in Three GPU Architectures.
Proceedings of the 47th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops, 2017

Kernel vulnerability factor and efficient hardening for histogram of oriented gradients.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2017

2016
Evaluation of Histogram of Oriented Gradients Soft Errors Criticality for Automotive Applications.
ACM Trans. Archit. Code Optim., 2016


  Loading...