% csauthors.net - beta - BibTeX bibliography of Francesco Regazzoni 001
@inproceedings{conf/fdl/RegazzoniNL05,
title = {Automatic synthesis of the Hardware/Software Interface.},
year = {2005},
booktitle = {FDL},
author = {{Francesco Regazzoni 001} and {André C. Nácul} and {Marcello Lajolo}},
publisher = {ECSI},
booktitle = {Forum on specification and Design Languages, FDL 2005, September 27-30, 2005, Lausanne, Switzerland, Proceedings}
}
@inproceedings{conf/asap/BertoniBRR06,
title = {Speeding Up AES By Extending a 32 bit Processor Instruction Set.},
year = {2006},
booktitle = {ASAP},
author = {{Guido Bertoni} and {Luca Breveglieri} and {Roberto Farina} and {Francesco Regazzoni 001}},
publisher = {IEEE Computer Society},
booktitle = {2006 IEEE International Conference on Application-Specific Systems, Architecture and Processors (ASAP 2006), 11-13 September 2006, Steamboat Springs, Colorado, USA}
}
@inproceedings{conf/glvlsi/ChandraRL06,
title = {Hardware/software partitioning of operating systems: a behavioral synthesis approach.},
year = {2006},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Sathish Chandra} and {Francesco Regazzoni 001} and {Marcello Lajolo}},
publisher = {ACM},
booktitle = {Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30 - May 1, 2006}
}
@inproceedings{conf/date/NaculRL07,
title = {Hardware scheduling support in SMP architectures.},
year = {2007},
booktitle = {DATE},
author = {{André C. Nácul} and {Francesco Regazzoni 001} and {Marcello Lajolo}},
publisher = {EDA Consortium, San Jose, CA, USA},
booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007}
}
@inproceedings{conf/dft/RegazzoniEGBIKP07,
title = {Power Attacks Resistance of Cryptographic S-Boxes with Added Error Detection Circuits.},
year = {2007},
booktitle = {DFT},
author = {{Francesco Regazzoni 001} and {Thomas Eisenbarth 001} and {Johann Großschädl} and {Luca Breveglieri} and {Paolo Ienne} and {Israel Koren} and {Christof Paar}},
publisher = {IEEE Computer Society},
booktitle = {22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 26-28 September 2007, Rome, Italy.}
}
@inproceedings{conf/samos/RegazzoniBEGPDMPPLI07,
title = {A Simulation-Based Methodology for Evaluating the DPA-Resistance of Cryptographic Functional Units with Application to CMOS and MCML Technologies.},
year = {2007},
booktitle = {ICSAMOS},
author = {{Francesco Regazzoni 001} and {Stéphane Badel} and {Thomas Eisenbarth 001} and {Johann Großschädl} and {Axel Poschmann} and {Zeynep Toprak Deniz} and {Marco Macchetti} and {Laura Pozzi} and {Christof Paar} and {Yusuf Leblebici} and {Paolo Ienne}},
publisher = {IEEE},
booktitle = {Proceedings of the 2007 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (IC-SAMOS 2007), Samos, Greece, July 16-19, 2007}
}
@inproceedings{conf/vlsid/GiaconiaMRS07,
title = {Area and Power Efficient Synthesis of DPA-Resistant Cryptographic S-Boxes.},
year = {2007},
booktitle = {VLSI Design},
author = {{Matteo Giaconia} and {Marco Macchetti} and {Francesco Regazzoni 001} and {Kai Schramm}},
publisher = {IEEE Computer Society},
booktitle = {20th International Conference on VLSI Design (VLSI Design 2007), Sixth International Conference on Embedded Systems (ICES 2007), 6-10 January 2007, Bangalore, India}
}
@inproceedings{conf/dft/RegazzoniEBIK08,
title = {Can Knowledge Regarding the Presence of Countermeasures Against Fault Attacks Simplify Power Attacks on Cryptographic Devices?.},
year = {2008},
booktitle = {DFT},
author = {{Francesco Regazzoni 001} and {Thomas Eisenbarth 001} and {Luca Breveglieri} and {Paolo Ienne} and {Israel Koren}},
publisher = {IEEE Computer Society},
booktitle = {23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 1-3 October 2008, Boston, MA, USA}
}
@inproceedings{conf/secrypt/BertoniBFR08,
title = {A 640 Mbit/S 32-Bit Pipelined Implementation of the AES Algorithm.},
year = {2008},
booktitle = {SECRYPT},
author = {{Guido Marco Bertoni} and {Luca Breveglieri} and {Roberto Farina} and {Francesco Regazzoni 001}},
publisher = {INSTICC Press},
booktitle = {SECRYPT 2008, Proceedings of the International Conference on Security and Cryptography, Porto, Portugal, July 26-29, 2008, SECRYPT is part of ICETE - The International Joint Conference on e-Business and Telecommunications}
}
@inproceedings{conf/ches/RegazzoniCSBKBLI09,
title = {A Design Flow and Evaluation Framework for DPA-Resistant Instruction Set Extensions.},
year = {2009},
booktitle = {CHES},
author = {{Francesco Regazzoni 001} and {Alessandro Cevrero} and {François-Xavier Standaert} and {Stéphane Badel} and {Theo Kluter} and {Philip Brisk} and {Yusuf Leblebici} and {Paolo Ienne}},
publisher = {Springer},
booktitle = {Cryptographic Hardware and Embedded Systems - CHES 2009, 11th International Workshop, Lausanne, Switzerland, September 6-9, 2009, Proceedings}
}
@article{journals/iacr/BaileyBBBBBDMFGGKLMPRSU09,
title = {The Certicom Challenges ECC2-X.},
year = {2009},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Daniel V. Bailey} and {Brian Baldwin} and {Lejla Batina} and {Daniel J. Bernstein} and {Peter Birkner} and {Joppe W. Bos} and {Gauthier Van Damme} and {Giacomo de Meulenaer} and {Junfeng Fan} and {Tim Güneysu} and {Frank K. Gürkaynak} and {Thorsten Kleinjung} and {Tanja Lange 001} and {Nele Mentens} and {Christof Paar} and {Francesco Regazzoni 001} and {Peter Schwabe} and {Leif Uhsadel}}
}
@article{journals/iacr/BaileyBBBBCCDMPFGGKLMNPRSUHY09,
title = {Breaking ECC2K-130.},
year = {2009},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Daniel V. Bailey} and {Lejla Batina} and {Daniel J. Bernstein} and {Peter Birkner} and {Joppe W. Bos} and {Hsieh-Chung Chen} and {Chen-Mou Cheng} and {Gauthier Van Damme} and {Giacomo de Meulenaer} and {Luis J. Dominguez Perez} and {Junfeng Fan} and {Tim Güneysu} and {Frank K. Gürkaynak} and {Thorsten Kleinjung} and {Tanja Lange 001} and {Nele Mentens} and {Ruben Niederhagen} and {Christof Paar} and {Francesco Regazzoni 001} and {Peter Schwabe} and {Leif Uhsadel} and {Anthony Van Herrewege} and {Bo-Yin Yang}}
}
@article{journals/tcos/RegazzoniEPGGMDPPLI09,
title = {Evaluating Resistance of MCML Technology to Power Analysis Attacks Using a Simulation-Based Methodology.},
year = {2009},
journal = {Trans. Comput. Sci.},
author = {{Francesco Regazzoni 001} and {Thomas Eisenbarth 001} and {Axel Poschmann} and {Johann Großschädl} and {Frank K. Gürkaynak} and {Marco Macchetti} and {Zeynep Toprak Deniz} and {Laura Pozzi} and {Christof Paar} and {Yusuf Leblebici} and {Paolo Ienne}},
publisher = {Springer},
booktitle = {Transactions on Computational Science IV, Special Issue on Security in Computing}
}
@inproceedings{conf/africacrypt/MedwedSGR10,
title = {Fresh Re-keying: Security against Side-Channel and Fault Attacks for Low-Cost Devices.},
year = {2010},
booktitle = {AFRICACRYPT},
author = {{Marcel Medwed} and {François-Xavier Standaert} and {Johann Großschädl} and {Francesco Regazzoni 001}},
publisher = {Springer},
booktitle = {Progress in Cryptology - AFRICACRYPT 2010, Third International Conference on Cryptology in Africa, Stellenbosch, South Africa, May 3-6, 2010. Proceedings}
}
@inproceedings{conf/cases/BarenghiBKPR10,
title = {Countermeasures against fault attacks on software implemented AES: effectiveness and cost.},
year = {2010},
booktitle = {WESS},
author = {{Alessandro Barenghi} and {Luca Breveglieri} and {Israel Koren} and {Gerardo Pelosi} and {Francesco Regazzoni 001}},
publisher = {ACM},
booktitle = {Proceedings of the 5th Workshop on Embedded Systems Security, WESS 2010, Scottsdale, AZ, USA, October 24, 2010}
}
@inproceedings{conf/date/TumeoRPFS10,
title = {A reconfigurable multiprocessor architecture for a reliable face recognition implementation.},
year = {2010},
booktitle = {DATE},
author = {{Antonino Tumeo} and {Francesco Regazzoni 001} and {Gianluca Palermo} and {Fabrizio Ferrandi} and {Donatella Sciuto}},
publisher = {IEEE Computer Society},
booktitle = {Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010}
}
@inproceedings{conf/intrust/GallaisGHKMRSTW10,
title = {Hardware Trojans for Inducing or Amplifying Side-Channel Leakage of Cryptographic Software.},
year = {2010},
booktitle = {INTRUST},
author = {{Jean-François Gallais} and {Johann Großschädl} and {Neil Hanley} and {Markus Kasper} and {Marcel Medwed} and {Francesco Regazzoni 001} and {Jörn-Marc Schmidt} and {Stefan Tillich} and {Marcin Wójcik}},
publisher = {Springer},
booktitle = {Trusted Systems - Second International Conference, INTRUST 2010, Beijing, China, December 13-15, 2010, Revised Selected Papers}
}
@inproceedings{conf/cardis/KerckhofDVRDS11,
title = {Compact FPGA Implementations of the Five SHA-3 Finalists.},
year = {2011},
booktitle = {CARDIS},
author = {{Stéphanie Kerckhof} and {François Durvaux} and {Nicolas Veyrat-Charvillon} and {Francesco Regazzoni 001} and {Guerric Meurice de Dormale} and {François-Xavier Standaert}},
publisher = {Springer},
booktitle = {Smart Card Research and Advanced Applications - 10th IFIP WG 8.8/11.2 International Conference, CARDIS 2011, Leuven, Belgium, September 14-16, 2011, Revised Selected Papers}
}
@inproceedings{conf/cardis/MedwedPRRS11,
title = {Fresh Re-keying II: Securing Multiple Parties against Side-Channel and Fault Attacks.},
year = {2011},
booktitle = {CARDIS},
author = {{Marcel Medwed} and {Christophe Petit 001} and {Francesco Regazzoni 001} and {Mathieu Renauld} and {François-Xavier Standaert}},
publisher = {Springer},
booktitle = {Smart Card Research and Advanced Applications - 10th IFIP WG 8.8/11.2 International Conference, CARDIS 2011, Leuven, Belgium, September 14-16, 2011, Revised Selected Papers}
}
@inproceedings{conf/dac/BayrakRBSI11,
title = {A first step towards automatic application of power analysis countermeasures.},
year = {2011},
booktitle = {DAC},
author = {{Ali Galip Bayrak} and {Francesco Regazzoni 001} and {Philip Brisk} and {François-Xavier Standaert} and {Paolo Ienne}},
publisher = {ACM},
booktitle = {Proceedings of the 48th Design Automation Conference, DAC 2011, San Diego, California, USA, June 5-10, 2011}
}
@inproceedings{conf/dac/CevreroRSBIL11,
title = {Power-gated MOS current mode logic (PG-MCML): a power aware DPA-resistant standard cell library.},
year = {2011},
booktitle = {DAC},
author = {{Alessandro Cevrero} and {Francesco Regazzoni 001} and {Micheal Schwander} and {Stéphane Badel} and {Paolo Ienne} and {Yusuf Leblebici}},
publisher = {ACM},
booktitle = {Proceedings of the 48th Design Automation Conference, DAC 2011, San Diego, California, USA, June 5-10, 2011}
}
@inproceedings{conf/rfidsec/BarenghiHBSRK11,
title = {Exploring the Feasibility of Low Cost Fault Injection Attacks on Sub-threshold Devices through an Example of a 65nm AES Implementation.},
year = {2011},
booktitle = {RFIDSec},
author = {{Alessandro Barenghi} and {Cédric Hocquet} and {David Bol} and {François-Xavier Standaert} and {Francesco Regazzoni 001} and {Israel Koren}},
publisher = {Springer},
booktitle = {RFID. Security and Privacy - 7th International Workshop, RFIDSec 2011, Amherst, USA, June 26-28, 2011, Revised Selected Papers}
}
@article{journals/jce/HocquetKRLFBS11,
title = {Harvesting the potential of nano-CMOS for lightweight cryptography: an ultra-low-voltage 65 nm AES coprocessor for passive RFID tags.},
year = {2011},
journal = {J. Cryptogr. Eng.},
author = {{Cédric Hocquet} and {Dina Kamel} and {Francesco Regazzoni 001} and {Jean-Didier Legat} and {Denis Flandre} and {David Bol} and {François-Xavier Standaert}}
}
@inproceedings{conf/africacrypt/EisenbarthGGHIKKNPRSO12,
title = {Compact Implementation and Performance Evaluation of Block Ciphers in ATtiny Devices.},
year = {2012},
booktitle = {AFRICACRYPT},
author = {{Thomas Eisenbarth 001} and {Zheng Gong} and {Tim Güneysu} and {Stefan Heyse} and {Sebastiaan Indesteege} and {Stéphanie Kerckhof} and {François Koeune} and {Tomislav Nad} and {Thomas Plos} and {Francesco Regazzoni 001} and {François-Xavier Standaert} and {Loïc van Oldeneel tot Oldenzeel}},
publisher = {Springer},
booktitle = {Progress in Cryptology - AFRICACRYPT 2012 - 5th International Conference on Cryptology in Africa, Ifrance, Morocco, July 10-12, 2012. Proceedings}
}
@inproceedings{conf/aspdac/FiorinFPR12,
title = {Security Enhanced Linux on embedded systems: A hardware-accelerated implementation.},
year = {2012},
booktitle = {ASP-DAC},
author = {{Leandro Fiorin} and {Alberto Ferrante} and {Konstantinos Padarnitsas} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {Proceedings of the 17th Asia and South Pacific Design Automation Conference, ASP-DAC 2012, Sydney, Australia, January 30 - February 2, 2012}
}
@inproceedings{conf/bhi/LamichhaneMRP12,
title = {LEXCOMM: A low energy, secure and flexible communication protocol for a heterogenous body sensor network.},
year = {2012},
booktitle = {BHI},
author = {{Bishal Lamichhane} and {Steven Mudda} and {Francesco Regazzoni 001} and {Alessandro Puiatti}},
publisher = {IEEE},
booktitle = {Proceedings of 2012 IEEE-EMBS International Conference on Biomedical and Health Informatics, Hong Kong, China, January 5-7, 2012}
}
@article{journals/iacr/BalaschEEGGGHKKPPRSAKOM12,
title = {Compact Implementation and Performance Evaluation of Hash Functions in ATtiny Devices.},
year = {2012},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Josep Balasch} and {Baris Ege} and {Thomas Eisenbarth 001} and {Benoît Gérard} and {Zheng Gong} and {Tim Güneysu} and {Stefan Heyse} and {Stéphanie Kerckhof} and {François Koeune} and {Thomas Plos} and {Thomas Pöppelmann} and {Francesco Regazzoni 001} and {François-Xavier Standaert} and {Gilles Van Assche} and {Ronny Van Keer} and {Loïc van Oldeneel tot Oldenzeel} and {Ingo von Maurich}}
}
@article{journals/tcas/BolHR12,
title = {A Fast ULV Logic Synthesis Flow in Many-Vt CMOS Processes for Minimum Energy Under Timing Constraints.},
year = {2012},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{David Bol} and {Cédric Hocquet} and {Francesco Regazzoni 001}}
}
@incollection{series/isc/RegazzoniBIK12,
title = {Interaction Between Fault Attack Countermeasures and the Resistance Against Power Analysis Attacks.},
year = {2012},
booktitle = {Fault Analysis in Cryptography},
author = {{Francesco Regazzoni 001} and {Luca Breveglieri} and {Paolo Ienne} and {Israel Koren}},
publisher = {Springer},
booktitle = {Fault Analysis in Cryptography}
}
@inproceedings{conf/ches/BayrakRNI13,
title = {Sleuth: Automated Verification of Software Power Analysis Countermeasures.},
year = {2013},
booktitle = {CHES},
author = {{Ali Galip Bayrak} and {Francesco Regazzoni 001} and {David Novo} and {Paolo Ienne}},
publisher = {Springer},
booktitle = {Cryptographic Hardware and Embedded Systems - CHES 2013 - 15th International Workshop, Santa Barbara, CA, USA, August 20-23, 2013. Proceedings}
}
@inproceedings{conf/ches/BeckerRPB13,
title = {Stealthy Dopant-Level Hardware Trojans.},
year = {2013},
booktitle = {CHES},
author = {{Georg T. Becker} and {Francesco Regazzoni 001} and {Christof Paar} and {Wayne P. Burleson}},
publisher = {Springer},
booktitle = {Cryptographic Hardware and Embedded Systems - CHES 2013 - 15th International Workshop, Santa Barbara, CA, USA, August 20-23, 2013. Proceedings}
}
@inproceedings{conf/dac/CharbonR13,
title = {Single-photon image sensors.},
year = {2013},
booktitle = {DAC},
author = {{Edoardo Charbon} and {Francesco Regazzoni 001}},
publisher = {ACM},
booktitle = {The 50th Annual Design Automation Conference 2013, DAC '13, Austin, TX, USA, May 29 - June 07, 2013}
}
@inproceedings{conf/date/BayrakVRNBI13,
title = {An EDA-friendly protection scheme against side-channel attacks.},
year = {2013},
booktitle = {DATE},
author = {{Ali Galip Bayrak} and {Nikola Velickovic} and {Francesco Regazzoni 001} and {David Novo} and {Philip Brisk} and {Paolo Ienne}},
publisher = {EDA Consortium San Jose, CA, USA / ACM DL},
booktitle = {Design, Automation and Test in Europe, DATE 13, Grenoble, France, March 18-22, 2013}
}
@inproceedings{conf/fse/BogdanovMRRT13,
title = {ALE: AES-Based Lightweight Authenticated Encryption.},
year = {2013},
booktitle = {FSE},
author = {{Andrey Bogdanov} and {Florian Mendel} and {Francesco Regazzoni 001} and {Vincent Rijmen} and {Elmar Tischhauser}},
publisher = {Springer},
booktitle = {Fast Software Encryption - 20th International Workshop, FSE 2013, Singapore, March 11-13, 2013. Revised Selected Papers}
}
@incollection{books/sp/14/DurvauxKRS14,
title = {A Survey of Recent Results in FPGA Security and Intellectual Property Protection.},
year = {2014},
booktitle = {Secure Smart Embedded Devices, Platforms and Applications},
author = {{François Durvaux} and {Stéphanie Kerckhof} and {Francesco Regazzoni 001} and {François-Xavier Standaert}},
publisher = {Springer},
booktitle = {Secure Smart Embedded Devices, Platforms and Applications}
}
@inproceedings{conf/aspdac/BurriSMBCR14,
title = {SPADs for quantum random number generators and beyond.},
year = {2014},
booktitle = {ASP-DAC},
author = {{Samuel Burri} and {Damien Stucki} and {Yuki Maruyama} and {Claudio Bruschini} and {Edoardo Charbon} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {19th Asia and South Pacific Design Automation Conference, ASP-DAC 2014, Singapore, January 20-23, 2014}
}
@inproceedings{conf/cases/AmaralRTC14,
title = {Accelerating differential power analysis on heterogeneous systems.},
year = {2014},
booktitle = {WESS},
author = {{João Amaral} and {Francesco Regazzoni 001} and {Pedro Tomás} and {Ricardo Chaves}},
publisher = {ACM},
booktitle = {Proceedings of the 9th Workshop on Embedded Systems Security, WESS '14, New Delhi, India, October 17, 2014}
}
@inproceedings{conf/fpl/GuneysuRSW14,
title = {THOR - The hardware onion router.},
year = {2014},
booktitle = {FPL},
author = {{Tim Güneysu} and {Francesco Regazzoni 001} and {Pascal Sasdrich} and {Marcin Wójcik}},
publisher = {IEEE},
booktitle = {24th International Conference on Field Programmable Logic and Applications, FPL 2014, Munich, Germany, 2-4 September, 2014}
}
@inproceedings{conf/isicir/AlippiBR14,
title = {Lightweight cryptography for constrained devices.},
year = {2014},
booktitle = {ISIC},
author = {{Cesare Alippi} and {Andrey Bogdanov} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {2014 International Symposium on Integrated Circuits (ISIC), Singapore, December 10-12, 2014}
}
@article{journals/iacr/BarenghiPR14,
title = {Simulation-Time Security Margin Assessment against Power-Based Side Channel Attacks.},
year = {2014},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Alessandro Barenghi} and {Gerardo Pelosi} and {Francesco Regazzoni 001}}
}
@article{journals/jce/BeckerRPB14,
title = {Stealthy dopant-level hardware Trojans: extended version.},
year = {2014},
journal = {J. Cryptogr. Eng.},
author = {{Georg T. Becker} and {Francesco Regazzoni 001} and {Christof Paar} and {Wayne P. Burleson}}
}
@article{journals/tetc/BarenghiHBSRK14,
title = {A Combined Design-Time/Test-Time Study of the Vulnerability of Sub-Threshold Devices to Low Voltage Fault Attacks.},
year = {2014},
journal = {IEEE Trans. Emerg. Top. Comput.},
author = {{Alessandro Barenghi} and {Cédric Hocquet} and {David Bol} and {François-Xavier Standaert} and {Francesco Regazzoni 001} and {Israel Koren}}
}
@inproceedings{conf/asiacrypt/BanikBISHAR15,
title = {Midori: A Block Cipher for Low Energy.},
year = {2015},
booktitle = {ASIACRYPT (2)},
author = {{Subhadeep Banik} and {Andrey Bogdanov} and {Takanori Isobe 001} and {Kyoji Shibutani} and {Harunaga Hiwatari} and {Toru Akishita} and {Francesco Regazzoni 001}},
publisher = {Springer},
booktitle = {Advances in Cryptology - ASIACRYPT 2015 - 21st International Conference on the Theory and Application of Cryptology and Information Security, Auckland, New Zealand, November 29 - December 3, 2015, Proceedings, Part II}
}
@inproceedings{conf/codes/FaruqueRP15,
title = {Design methodologies for securing cyber-physical systems.},
year = {2015},
booktitle = {CODES+ISSS},
author = {{Mohammad Abdullah Al Faruque} and {Francesco Regazzoni 001} and {Miroslav Pajic}},
publisher = {IEEE},
booktitle = {2015 International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2015, Amsterdam, Netherlands, October 4-9, 2015}
}
@inproceedings{conf/dtis/PiscitelliBR15,
title = {Fault attacks, injection techniques and tools for simulation.},
year = {2015},
booktitle = {DTIS},
author = {{Roberta Piscitelli} and {Shivam Bhasin} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, DTIS 2015, Napoli, Italy, April 21-23, 2015}
}
@inproceedings{conf/dtis/Regazzoni15,
title = {Physical attacks, introduction and application to embedded processors.},
year = {2015},
booktitle = {DTIS},
author = {{Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, DTIS 2015, Napoli, Italy, April 21-23, 2015}
}
@inproceedings{conf/fpga/HomulleRC15,
title = {200 MS/s ADC implemented in a FPGA employing TDCs.},
year = {2015},
booktitle = {FPGA},
author = {{Harald Homulle} and {Francesco Regazzoni 001} and {Edoardo Charbon}},
publisher = {ACM},
booktitle = {Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Monterey, CA, USA, February 22-24, 2015}
}
@inproceedings{conf/host/GuoKRJK15,
title = {Simulation and analysis of negative-bias temperature instability aging on power analysis attacks.},
year = {2015},
booktitle = {HOST},
author = {{Xiaofei Guo} and {Naghmeh Karimi} and {Francesco Regazzoni 001} and {Chenglu Jin} and {Ramesh Karri}},
publisher = {IEEE Computer Society},
booktitle = {IEEE International Symposium on Hardware Oriented Security and Trust, HOST 2015, Washington, DC, USA, 5-7 May, 2015}
}
@inproceedings{conf/iscas/BhasinR15,
title = {A survey on hardware trojan detection techniques.},
year = {2015},
booktitle = {ISCAS},
author = {{Shivam Bhasin} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {2015 IEEE International Symposium on Circuits and Systems, ISCAS 2015, Lisbon, Portugal, May 24-27, 2015}
}
@inproceedings{conf/iscas/GravesNBBEFMPRR15,
title = {Challenges in designing trustworthy cryptographic co-processors.},
year = {2015},
booktitle = {ISCAS},
author = {{Ricardo Chaves} and {Giorgio Di Natale} and {Lejla Batina} and {Shivam Bhasin} and {Baris Ege} and {Apostolos P. Fournaris} and {Nele Mentens} and {Stjepan Picek} and {Francesco Regazzoni 001} and {Vladimir Rozic} and {Nicolas Sklavos 001} and {Bohan Yang 001}},
publisher = {IEEE},
booktitle = {2015 IEEE International Symposium on Circuits and Systems, ISCAS 2015, Lisbon, Portugal, May 24-27, 2015}
}
@inproceedings{conf/reconfig/BanikBR15,
title = {Exploring the energy consumption of lightweight blockciphers in FPGA.},
year = {2015},
booktitle = {ReConFig},
author = {{Subhadeep Banik} and {Andrey Bogdanov} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}
}
@article{journals/iacr/BanikBISHAR15,
title = {Midori: A Block Cipher for Low Energy (Extended Version).},
year = {2015},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Subhadeep Banik} and {Andrey Bogdanov} and {Takanori Isobe 001} and {Kyoji Shibutani} and {Harunaga Hiwatari} and {Toru Akishita} and {Francesco Regazzoni 001}}
}
@article{journals/iacr/BanikBR15,
title = {Exploring Energy Efficiency of Lightweight Block Ciphers.},
year = {2015},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Subhadeep Banik} and {Andrey Bogdanov} and {Francesco Regazzoni 001}}
}
@article{journals/tc/BayrakRNBSI15,
title = {Automatic Application of Power Analysis Countermeasures.},
year = {2015},
journal = {IEEE Trans. Computers},
author = {{Ali Galip Bayrak} and {Francesco Regazzoni 001} and {David Novo} and {Philip Brisk} and {François-Xavier Standaert} and {Paolo Ienne}}
}
@inproceedings{conf/cd/BanikBFSRPR16,
title = {Adaptable AES implementation with power-gating support.},
year = {2016},
booktitle = {Conf. Computing Frontiers},
author = {{Subhadeep Banik} and {Andrey Bogdanov} and {Tiziana Fanni} and {Carlo Sau} and {Luigi Raffo} and {Francesca Palumbo} and {Francesco Regazzoni 001}},
publisher = {ACM},
booktitle = {Proceedings of the ACM International Conference on Computing Frontiers, CF'16, Como, Italy, May 16-19, 2016}
}
@inproceedings{conf/cd/ONeillOMSMKHPAR16,
title = {Secure architectures of future emerging cryptography SAFEcrypto.},
year = {2016},
booktitle = {Conf. Computing Frontiers},
author = {{Máire O'Neill} and {Elizabeth O'Sullivan} and {Gavin McWilliams} and {Markku-Juhani O. Saarinen} and {Ciara Moore} and {Ayesha Khalid} and {James Howe} and {Rafaël Del Pino} and {Michel Abdalla} and {Francesco Regazzoni 001} and {Felipe Valencia} and {Tim Güneysu} and {Tobias Oder} and {Adrian Waller} and {Glyn Jones} and {Anthony Barnett} and {Robert Griffin} and {Andrew Byrne} and {Bassem Ammar} and {David Lund}},
publisher = {ACM},
booktitle = {Proceedings of the ACM International Conference on Computing Frontiers, CF'16, Como, Italy, May 16-19, 2016}
}
@inproceedings{conf/dac/HoweMORGB16,
title = {Standard lattices in hardware.},
year = {2016},
booktitle = {DAC},
author = {{James Howe} and {Ciara Moore} and {Máire O'Neill} and {Francesco Regazzoni 001} and {Tim Güneysu} and {K. Beeden}},
publisher = {ACM},
booktitle = {Proceedings of the 53rd Annual Design Automation Conference, DAC 2016, Austin, TX, USA, June 5-9, 2016}
}
@inproceedings{conf/date/RegazzoniI16,
title = {Instruction Set Extensions for secure applications.},
year = {2016},
booktitle = {DATE},
author = {{Francesco Regazzoni 001} and {Paolo Ienne}},
publisher = {IEEE},
booktitle = {2016 Design, Automation & Test in Europe Conference & Exhibition, DATE 2016, Dresden, Germany, March 14-18, 2016}
}
@inproceedings{conf/fpga/BellonFMMR16,
title = {Evaluating the Impact of Environmental Factors on Physically Unclonable Functions (Abstract Only).},
year = {2016},
booktitle = {FPGA},
author = {{Sebastien Bellon} and {Claudio Favi} and {Miroslaw Malek} and {Marco Macchetti} and {Francesco Regazzoni 001}},
publisher = {ACM},
booktitle = {Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Monterey, CA, USA, February 21-23, 2016}
}
@inproceedings{conf/host/BanikBRIHA16,
title = {Round gating for low energy block ciphers.},
year = {2016},
booktitle = {HOST},
author = {{Subhadeep Banik} and {Andrey Bogdanov} and {Francesco Regazzoni 001} and {Takanori Isobe 001} and {Harunaga Hiwatari} and {Toru Akishita}},
publisher = {IEEE Computer Society},
booktitle = {2016 IEEE International Symposium on Hardware Oriented Security and Trust, HOST 2016, McLean, VA, USA, May 3-5, 2016}
}
@inproceedings{conf/isicir/OderGVKOR16,
title = {Lattice-based cryptography: From reconfigurable hardware to ASIC.},
year = {2016},
booktitle = {ISIC},
author = {{Tobias Oder} and {Tim Güneysu} and {Felipe Valencia} and {Ayesha Khalid} and {Máire O'Neill} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {International Symposium on Integrated Circuits, ISIC 2016, Singapore, December 12-14, 2016}
}
@inproceedings{conf/sacrypt/Regazzoni16,
title = {Physical Attacks and Beyond.},
year = {2016},
booktitle = {SAC},
author = {{Francesco Regazzoni 001}},
publisher = {Springer},
booktitle = {Selected Areas in Cryptography - SAC 2016 - 23rd International Conference, St. John's, NL, Canada, August 10-12, 2016, Revised Selected Papers}
}
@inproceedings{conf/samos/BellonFMMR16,
title = {Evaluating physically unclonable functions on a large set of FPGAs.},
year = {2016},
booktitle = {SAMOS},
author = {{Sebastien Bellon} and {Claudio Favi} and {Miroslaw Malek} and {Marco Macchetti} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, SAMOS 2016, Agios Konstantinos, Samos Island, Greece, July 17-21, 2016}
}
@article{journals/iacr/BanikBR16,
title = {Atomic-AES: A Compact Implementation of the AES Encryption/Decryption Core.},
year = {2016},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Subhadeep Banik} and {Andrey Bogdanov} and {Francesco Regazzoni 001}}
}
@article{journals/iacr/BanikBR16a,
title = {Atomic-AES v 2.0.},
year = {2016},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Subhadeep Banik} and {Andrey Bogdanov} and {Francesco Regazzoni 001}}
}
@article{journals/sigbed/SamiMBR16,
title = {Embedded systems education: job market expectations.},
year = {2016},
journal = {SIGBED Rev.},
author = {{Mariagiovanna Sami} and {Miroslaw Malek} and {Umberto Bondi} and {Francesco Regazzoni 001}}
}
@inproceedings{conf/aspdac/RegazzoniP17,
title = {Securing the hardware of cyber-physical systems.},
year = {2017},
booktitle = {ASP-DAC},
author = {{Francesco Regazzoni 001} and {Ilia Polian}},
publisher = {IEEE},
booktitle = {22nd Asia and South Pacific Design Automation Conference, ASP-DAC 2017, Chiba, Japan, January 16-19, 2017}
}
@inproceedings{conf/codes/OSullivanR17,
title = {Efficient arithmetic for lattice-based cryptography: special session paper.},
year = {2017},
booktitle = {CODES+ISSS},
author = {{Elizabeth O'Sullivan} and {Francesco Regazzoni 001}},
publisher = {ACM},
booktitle = {Proceedings of the Twelfth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion, CODES+ISSS 2017, Seoul, Republic of Korea, October 15-20, 2017}
}
@inproceedings{conf/date/MasinPMFPPRRSTT17,
title = {Cross-layer design of reconfigurable cyber-physical systems.},
year = {2017},
booktitle = {DATE},
author = {{Michael Masin} and {Francesca Palumbo} and {Hans Myrhaug} and {J. A. de Oliveira Filho} and {M. Pastena} and {Maxime Pelcat} and {Luigi Raffo} and {Francesco Regazzoni 001} and {A. A. Sanchez} and {Antonella Toffetti} and {Eduardo de la Torre} and {Katiuscia Zedda}},
publisher = {IEEE},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2017, Lausanne, Switzerland, March 27-31, 2017}
}
@inproceedings{conf/ets/PolianR17,
title = {Counteracting malicious faults in cryptographic circuits.},
year = {2017},
booktitle = {ETS},
author = {{Ilia Polian} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {22nd IEEE European Test Symposium, ETS 2017, Limassol, Cyprus, May 22-26, 2017}
}
@inproceedings{conf/host/BanikBR17,
title = {Efficient configurations for block ciphers with unified ENC/DEC paths.},
year = {2017},
booktitle = {HOST},
author = {{Subhadeep Banik} and {Andrey Bogdanov} and {Francesco Regazzoni 001}},
publisher = {IEEE Computer Society},
booktitle = {2017 IEEE International Symposium on Hardware Oriented Security and Trust, HOST 2017, McLean, VA, USA, May 1-5, 2017}
}
@inproceedings{conf/samos/Regazzoni17,
title = {Special session on architectures and design tools for secure embedded systems.},
year = {2017},
booktitle = {SAMOS},
author = {{Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {2017 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS 2017, Pythagorion, Greece, July 17-20, 2017}
}
@inproceedings{conf/samos/ValenciaKOR17,
title = {The design space of the number theoretic transform: A survey.},
year = {2017},
booktitle = {SAMOS},
author = {{Felipe Valencia} and {Ayesha Khalid} and {Elizabeth O'Sullivan} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {2017 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS 2017, Pythagorion, Greece, July 17-20, 2017}
}
@inproceedings{conf/socc/PolianRS17,
title = {Introduction to hardware-oriented security for MPSoCs.},
year = {2017},
booktitle = {SoCC},
author = {{Ilia Polian} and {Francesco Regazzoni 001} and {Johanna Sepúlveda}},
publisher = {IEEE},
booktitle = {30th IEEE International System-on-Chip Conference, SOCC 2017, Munich, Germany, September 5-8, 2017}
}
@article{journals/iacr/BranniganSOVOGR17,
title = {An Investigation of Sources of Randomness Within Discrete Gaussian Sampling.},
year = {2017},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Séamus Brannigan} and {Neil Smyth} and {Tobias Oder} and {Felipe Valencia} and {Elizabeth O'Sullivan} and {Tim Güneysu} and {Francesco Regazzoni 001}}
}
@inproceedings{conf/africacrypt/ChavesCRB18,
title = {SCA-Resistance for AES: How Cheap Can We Go?},
year = {2018},
booktitle = {AFRICACRYPT},
author = {{Ricardo Chaves} and {Lukasz Chmielewski} and {Francesco Regazzoni 001} and {Lejla Batina}},
publisher = {Springer},
booktitle = {Progress in Cryptology - AFRICACRYPT 2018 - 10th International Conference on Cryptology in Africa, Marrakesh, Morocco, May 7-9, 2018, Proceedings}
}
@inproceedings{conf/ccs/ChangGHRR18,
title = {ASHES 2018- Workshop on Attacks and Solutions in Hardware Security.},
year = {2018},
booktitle = {CCS},
author = {{Chip-Hong Chang} and {Jorge Guajardo} and {Daniel E. Holcomb} and {Francesco Regazzoni 001} and {Ulrich Rührmair}},
publisher = {ACM},
booktitle = {Proceedings of the 2018 ACM SIGSAC Conference on Computer and Communications Security, CCS 2018, Toronto, ON, Canada, October 15-19, 2018}
}
@inproceedings{conf/dac/PilatoRKG18,
title = {TAO: techniques for algorithm-level obfuscation during high-level synthesis.},
year = {2018},
booktitle = {DAC},
author = {{Christian Pilato} and {Francesco Regazzoni 001} and {Ramesh Karri} and {Siddharth Garg}},
publisher = {ACM},
booktitle = {Proceedings of the 55th Annual Design Automation Conference, DAC 2018, San Francisco, CA, USA, June 24-29, 2018}
}
@inproceedings{conf/glvlsi/KhalidOVOGR18,
title = {Physical Protection of Lattice-Based Cryptography: Challenges and Solutions.},
year = {2018},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Ayesha Khalid} and {Tobias Oder} and {Felipe Valencia} and {Máire O'Neill} and {Tim Güneysu} and {Francesco Regazzoni 001}},
publisher = {ACM},
booktitle = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, GLSVLSI 2018, Chicago, IL, USA, May 23-25, 2018}
}
@inproceedings{conf/hipeac/ValenciaOGR18,
title = {Exploring the Vulnerability of R-LWE Encryption to Fault Attacks.},
year = {2018},
booktitle = {CS2@HiPEAC},
author = {{Felipe Valencia} and {Tobias Oder} and {Tim Güneysu} and {Francesco Regazzoni 001}},
publisher = {ACM},
booktitle = {Proceedings of the Fifth Workshop on Cryptography and Security in Computing Systems, CS2 2018, Manchester, United Kingdom, January 24, 2018}
}
@inproceedings{conf/host/BanikBRIHA18,
title = {Inverse gating for low energy encryption.},
year = {2018},
booktitle = {HOST},
author = {{Subhadeep Banik} and {Andrey Bogdanov} and {Francesco Regazzoni 001} and {Takanori Isobe 001} and {Harunaga Hiwatari} and {Toru Akishita}},
publisher = {IEEE Computer Society},
booktitle = {2018 IEEE International Symposium on Hardware Oriented Security and Trust, HOST 2018, Washington, DC, USA, April 30 - May 4, 2018}
}
@inproceedings{conf/iccad/RegazzoniAP18,
title = {Security: the dark side of approximate computing?},
year = {2018},
booktitle = {ICCAD},
author = {{Francesco Regazzoni 001} and {Cesare Alippi} and {Ilia Polian}},
publisher = {ACM},
booktitle = {Proceedings of the International Conference on Computer-Aided Design, ICCAD 2018, San Diego, CA, USA, November 05-08, 2018}
}
@inproceedings{conf/samos/NajmFR18,
title = {Session details: Security threats caused by novel technologies.},
year = {2018},
booktitle = {SAMOS},
author = {{Zakaria Najm} and {Martha Johanna Sepúlveda Flórez} and {Francesco Regazzoni 001}},
publisher = {ACM},
booktitle = {Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, Pythagorion, Greece, July 15-19, 2018.}
}
@inproceedings{conf/samos/RegazzoniFP18,
title = {Quantum era challenges for classical computers.},
year = {2018},
booktitle = {SAMOS},
author = {{Francesco Regazzoni 001} and {Austin G. Fowler} and {Ilia Polian}},
publisher = {ACM},
booktitle = {Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, Pythagorion, Greece, July 15-19, 2018.}
}
@article{journals/esl/PilatoGWKR18,
title = {Securing Hardware Accelerators: A New Challenge for High-Level Synthesis.},
year = {2018},
journal = {IEEE Embed. Syst. Lett.},
author = {{Christian Pilato} and {Siddharth Garg} and {Kaijie Wu 001} and {Ramesh Karri} and {Francesco Regazzoni 001}}
}
@article{journals/esl/RoyABGRCM18,
title = {Customized Instructions for Protection Against Memory Integrity Attacks.},
year = {2018},
journal = {IEEE Embed. Syst. Lett.},
author = {{Debapriya Basu Roy} and {Manaar Alam} and {Sarani Bhattacharya} and {Vidya Govindan} and {Francesco Regazzoni 001} and {Rajat Subhra Chakraborty} and {Debdeep Mukhopadhyay}}
}
@article{journals/iacr/BanikRV18,
title = {Lightweight Circuits with Shift and Swap.},
year = {2018},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Subhadeep Banik} and {Francesco Regazzoni 001} and {Serge Vaudenay}}
}
@article{journals/iacr/KhalidHRRO18,
title = {Compact, Scalable, and Efficient Discrete Gaussian Samplers for Lattice-Based Cryptography.},
year = {2018},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Ayesha Khalid} and {James Howe} and {Ciara Rafferty} and {Francesco Regazzoni 001} and {Máire O'Neill}}
}
@article{journals/iacr/MentensCR18,
title = {Rethinking Secure FPGAs: Towards a Cryptography-friendly Configurable Cell Architecture and its Automated Design Flow.},
year = {2018},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Nele Mentens} and {Edoardo Charbon} and {Francesco Regazzoni 001}}
}
@article{journals/iacr/PicekHAR18,
title = {When Theory Meets Practice: A Framework for Robust Profiled Side-channel Analysis.},
year = {2018},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Stjepan Picek} and {Annelie Heuser} and {Cesare Alippi} and {Francesco Regazzoni 001}}
}
@article{journals/tc/HoweKRRO18,
title = {On Practical Discrete Gaussian Samplers for Lattice-Based Cryptography.},
year = {2018},
journal = {IEEE Trans. Computers},
author = {{James Howe} and {Ayesha Khalid} and {Ciara Rafferty} and {Francesco Regazzoni 001} and {Máire O'Neill}}
}
@article{journals/tosc/BanikMAIMBWR18,
title = {Towards Low Energy Stream Ciphers.},
year = {2018},
journal = {IACR Trans. Symmetric Cryptol.},
author = {{Subhadeep Banik} and {Vasily Mikhalev} and {Frederik Armknecht} and {Takanori Isobe 001} and {Willi Meier} and {Andrey Bogdanov} and {Yuhei Watanabe} and {Francesco Regazzoni 001}}
}
@inproceedings{conf/ccs/ChangHRRS19,
title = {ASHES 2019: 3rd Workshop on Attacks and Solutions in Hardware Security.},
year = {2019},
booktitle = {CCS},
author = {{Chip-Hong Chang} and {Daniel E. Holcomb} and {Francesco Regazzoni 001} and {Ulrich Rührmair} and {Patrick Schaumont}},
publisher = {ACM},
booktitle = {Proceedings of the 2019 ACM SIGSAC Conference on Computer and Communications Security, CCS 2019, London, UK, November 11-15, 2019.}
}
@inproceedings{conf/cf/PalumboFSPRMSRD19,
title = {CERBERO: Cross-layer modEl-based fRamework for multi-oBjective dEsign of reconfigurable systems in unceRtain hybRid envirOnments: Invited paper: CERBERO teams from UniSS, UniCA, IBM Research, TASE, INSA-Rennes, UPM, USI, Abinsula, AmbieSense, TNO, S&T, CRF.},
year = {2019},
booktitle = {CF},
author = {{Francesca Palumbo} and {Tiziana Fanni} and {Carlo Sau} and {Luca Pulina} and {Luigi Raffo} and {Michael Masin} and {Evgeny Shindin} and {Pablo Sanchez de Rojas} and {Karol Desnos} and {Maxime Pelcat} and {Alfonso Rodríguez 002} and {Eduardo Juárez 001} and {Francesco Regazzoni 001} and {Giuseppe Meloni} and {Katiuscia Zedda} and {Hans Myrhaug} and {Leszek Kaliciak} and {Joost Adriaanse} and {Julio de Olivieria Filho} and {Pablo Muñoz} and {Antonella Toffetti}},
publisher = {ACM},
booktitle = {Proceedings of the 16th ACM International Conference on Computing Frontiers, CF 2019, Alghero, Italy, April 30 - May 2, 2019.}
}
@inproceedings{conf/date/PilatoBSRK19,
title = {High-Level Synthesis of Benevolent Trojans.},
year = {2019},
booktitle = {DATE},
author = {{Christian Pilato} and {Kanad Basu} and {Mohammed Shayan} and {Francesco Regazzoni 001} and {Ramesh Karri}},
publisher = {IEEE},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2019, Florence, Italy, March 25-29, 2019}
}
@inproceedings{conf/ets/KatzenbeisserPR19,
title = {Security in Autonomous Systems.},
year = {2019},
booktitle = {ETS},
author = {{Stefan Katzenbeisser 001} and {Ilia Polian} and {Francesco Regazzoni 001} and {Marc Stöttinger}},
publisher = {IEEE},
booktitle = {24th IEEE European Test Symposium, ETS 2019, Baden-Baden, Germany, May 27-31, 2019}
}
@inproceedings{conf/iccd/ChenCVR19,
title = {PlaidML-HE: Acceleration of Deep Learning Kernels to Compute on Encrypted Data.},
year = {2019},
booktitle = {ICCD},
author = {{Huili Chen} and {Rosario Cammarota} and {Felipe Valencia} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {37th IEEE International Conference on Computer Design, ICCD 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}
}
@inproceedings{conf/sac/MasinPAMRSZ19,
title = {Elicitation of technical requirements in large research projects: the CERBERO approach.},
year = {2019},
booktitle = {SAC},
author = {{Michael Masin} and {Francesca Palumbo} and {Joost Adriaanse} and {Hans Myrhaug} and {Francesco Regazzoni 001} and {Manuel Sanchez} and {Katiuscia Zedda}},
publisher = {ACM},
booktitle = {Proceedings of the 34th ACM/SIGAPP Symposium on Applied Computing, SAC 2019, Limassol, Cyprus, April 8-12, 2019}
}
@inproceedings{conf/samos/ValenciaPR19,
title = {Fault Sensitivity Analysis of Lattice-Based Post-Quantum Cryptographic Components.},
year = {2019},
booktitle = {SAMOS},
author = {{Felipe Valencia} and {Ilia Polian} and {Francesco Regazzoni 001}},
publisher = {Springer},
booktitle = {Embedded Computer Systems: Architectures, Modeling, and Simulation - 19th International Conference, SAMOS 2019, Samos, Greece, July 7-11, 2019, Proceedings}
}
@article{journals/csur/NejatollahiDRRB19,
title = {Post-Quantum Lattice-Based Cryptography Implementations: A Survey.},
year = {2019},
journal = {ACM Comput. Surv.},
author = {{Hamid Nejatollahi} and {Nikil D. Dutt} and {Sandip Ray} and {Francesco Regazzoni 001} and {Indranil Banerjee} and {Rosario Cammarota}}
}
@article{journals/dagstuhl-reports/AroraPRS19,
title = {Secure Composition for Hardware Systems (Dagstuhl Seminar 19301).},
year = {2019},
journal = {Dagstuhl Reports},
author = {{Divya Arora} and {Ilia Polian} and {Francesco Regazzoni 001} and {Patrick Schaumont}}
}
@article{journals/esl/RegazzoniCF19,
title = {Guest Editors' Introduction.},
year = {2019},
journal = {IEEE Embed. Syst. Lett.},
author = {{Francesco Regazzoni 001} and {Arquimedes Canedo} and {Mohammad Abdullah Al Faruque}}
}
@article{journals/iacr/BanikBRV19,
title = {Swap and Rotate: Lightweight linear layers for SPN-based blockciphers.},
year = {2019},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Subhadeep Banik} and {Fatih Balli} and {Francesco Regazzoni 001} and {Serge Vaudenay}}
}
@article{journals/iacr/HoweKMRO19,
title = {Fault Attack Countermeasures for Error Samplers in Lattice-Based Cryptography.},
year = {2019},
journal = {IACR Cryptol. ePrint Arch.},
author = {{James Howe} and {Ayesha Khalid} and {Marco Martinoli} and {Francesco Regazzoni 001} and {Elisabeth Oswald}}
}
@article{journals/iacr/SheltonSBR0Y19,
title = {Rosita: Towards Automatic Elimination of Power-Analysis Leakage in Ciphers.},
year = {2019},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Madura A. Shelton} and {Niels Samwel} and {Lejla Batina} and {Francesco Regazzoni 001} and {Markus Wagner 007} and {Yuval Yarom}}
}
@article{journals/jce/BanikBR19,
title = {Compact circuits for combined AES encryption/decryption.},
year = {2019},
journal = {J. Cryptogr. Eng.},
author = {{Subhadeep Banik} and {Andrey Bogdanov} and {Francesco Regazzoni 001}}
}
@article{journals/tcad/PilatoWGKR19,
title = {TaintHLS: High-Level Synthesis for Dynamic Information Flow Tracking.},
year = {2019},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Christian Pilato} and {Kaijie Wu 001} and {Siddharth Garg} and {Ramesh Karri} and {Francesco Regazzoni 001}}
}
@article{journals/tches/PicekHJBR19,
title = {The Curse of Class Imbalance and Conflicting Metrics with Machine Learning for Side-channel Evaluations.},
year = {2019},
journal = {IACR Trans. Cryptogr. Hardw. Embed. Syst.},
author = {{Stjepan Picek} and {Annelie Heuser} and {Alan Jovic} and {Shivam Bhasin} and {Francesco Regazzoni 001}}
}
@article{journals/tvlsi/PilatoBRK19,
title = {Black-Hat High-Level Synthesis: Myth or Reality?},
year = {2019},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Christian Pilato} and {Kanad Basu} and {Francesco Regazzoni 001} and {Ramesh Karri}}
}
@inproceedings{conf/brains/Bareato00V20,
title = {A secure, distributed and scalable infrastructure for remote generation and use of cryptographic keys.},
year = {2020},
booktitle = {BRAINS},
author = {{Claudio Bareato} and {Paolo Palmieri 001} and {Francesco Regazzoni 001} and {Oreste Venier}},
publisher = {IEEE},
booktitle = {2nd Conference on Blockchain Research & Applications for Innovative Networks and Services, BRAINS 2020, Paris, France, September 28-30, 2020}
}
@inproceedings{conf/dac/ChenCV0K20,
title = {AHEC: End-to-end Compiler Framework for Privacy-preserving Machine Learning Acceleration.},
year = {2020},
booktitle = {DAC},
author = {{Huili Chen} and {Rosario Cammarota} and {Felipe Valencia} and {Francesco Regazzoni 001} and {Farinaz Koushanfar}},
publisher = {IEEE},
booktitle = {57th ACM/IEEE Design Automation Conference, DAC 2020, San Francisco, CA, USA, July 20-24, 2020}
}
@inproceedings{conf/date/GlamocaninC0S20,
title = {Are Cloud FPGAs Really Vulnerable to Power Analysis Attacks?},
year = {2020},
booktitle = {DATE},
author = {{Ognjen Glamocanin} and {Louis Coulon} and {Francesco Regazzoni 001} and {Mirjana Stojilovic}},
publisher = {IEEE},
booktitle = {2020 Design, Automation & Test in Europe Conference & Exhibition, DATE 2020, Grenoble, France, March 9-13, 2020}
}
@inproceedings{conf/date/KnechtelK0HCMDF20,
title = {Towards Secure Composition of Integrated Circuits and Electronic Systems: On the Role of EDA.},
year = {2020},
booktitle = {DATE},
author = {{Johann Knechtel} and {Elif Bilge Kavun} and {Francesco Regazzoni 001} and {Annelie Heuser} and {Anupam Chattopadhyay} and {Debdeep Mukhopadhyay} and {Soumyajit Dey} and {Yunsi Fei} and {Yaacov Belenky} and {Itamar Levi} and {Tim Güneysu} and {Patrick Schaumont} and {Ilia Polian}},
publisher = {IEEE},
booktitle = {2020 Design, Automation & Test in Europe Conference & Exhibition, DATE 2020, Grenoble, France, March 9-13, 2020}
}
@inproceedings{conf/dft/Natale0ALLSP20,
title = {Latest Trends in Hardware Security and Privacy.},
year = {2020},
booktitle = {DFT},
author = {{Giorgio Di Natale} and {Francesco Regazzoni 001} and {Vincent Albanese} and {Frank Lhermet} and {Yann Loisel} and {Abderrahmane Sensaoui} and {Samuel Pagliarini}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2020, Frascati, Italy, October 19-21, 2020}
}
@inproceedings{conf/fpga/GlamocaninCRS20,
title = {Built-in Self-Evaluation of First-Order Power Side-Channel Leakage for FPGAs.},
year = {2020},
booktitle = {FPGA},
author = {{Ognjen Glamocanin} and {Louis Coulon} and {Francesco Regazzoni 001} and {Mirjana Stojilovic}},
publisher = {ACM},
booktitle = {FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Seaside, CA, USA, February 23-25, 2020}
}
@inproceedings{conf/glvlsi/0001P20,
title = {Side Channel Attacks vs Approximate Computing.},
year = {2020},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Francesco Regazzoni 001} and {Ilia Polian}},
publisher = {ACM},
booktitle = {GLSVLSI '20: Great Lakes Symposium on VLSI 2020, Virtual Event, China, September 7-9, 2020}
}
@inproceedings{conf/iccad/0001BAAAABNFHHI20,
title = {Machine Learning and Hardware security: Challenges and Opportunities -Invited Talk-.},
year = {2020},
booktitle = {ICCAD},
author = {{Francesco Regazzoni 001} and {Shivam Bhasin} and {Amir Alipour} and {Ihab Alshaer} and {Furkan Aydin} and {Aydin Aysu} and {Vincent Beroulle} and {Giorgio Di Natale} and {Paul D. Franzon} and {David Hély} and {Naofumi Homma} and {Akira Ito} and {Dirmanto Jap} and {Priyank Kashyap} and {Ilia Polian} and {Seetal Potluri} and {Rei Ueno} and {Elena Ioana Vatajelu} and {Ville Yli-Mäyry}},
publisher = {IEEE},
booktitle = {IEEE/ACM International Conference On Computer Aided Design, ICCAD 2020, San Diego, CA, USA, November 2-5, 2020}
}
@article{journals/iacr/GrossschadlMPPR20,
title = {An Instruction Set Extension to Support Software-Based Masking.},
year = {2020},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Johann Großschädl} and {Ben Marshall} and {Dan Page} and {Thinh Hung Pham} and {Francesco Regazzoni 001}}
}
@article{journals/iacr/SimonBDGMPRS20,
title = {Friet: An Authenticated Encryption Scheme with Built-in Fault Detection.},
year = {2020},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Thierry Simon} and {Lejla Batina} and {Joan Daemen} and {Vincent Grosso} and {Pedro Maat Costa Massolino} and {Kostas Papagiannopoulos} and {Francesco Regazzoni 001} and {Niels Samwel}}
}
@article{journals/tecs/NejatollahiVBRC20,
title = {Synthesis of Flexible Accelerators for Early Adoption of Ring-LWE Post-quantum Cryptography.},
year = {2020},
journal = {ACM Trans. Embed. Comput. Syst.},
author = {{Hamid Nejatollahi} and {Felipe Valencia} and {Subhadeep Banik} and {Francesco Regazzoni 001} and {Rosario Cammarota} and {Nikil D. Dutt}}
}
@inproceedings{conf/date/CaforioBB021,
title = {A Deeper Look at the Energy Consumption of Lightweight Block Ciphers.},
year = {2021},
booktitle = {DATE},
author = {{Andrea Caforio} and {Fatih Balli} and {Subhadeep Banik} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2021, Grenoble, France, February 1-5, 2021}
}
@inproceedings{conf/date/GlamocaninM0S21,
title = {Shared FPGAs and the Holy Grail: Protections against Side-Channel and Fault Attacks.},
year = {2021},
booktitle = {DATE},
author = {{Ognjen Glamocanin} and {Dina G. Mahmoud} and {Francesco Regazzoni 001} and {Mirjana Stojilovic}},
publisher = {IEEE},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2021, Grenoble, France, February 1-5, 2021}
}
@inproceedings{conf/date/PilatoBBCCCCDFM21,
title = {EVEREST: A design environment for extreme-scale big data analytics on heterogeneous platforms.},
year = {2021},
booktitle = {DATE},
author = {{Christian Pilato} and {Stanislav Böhm} and {Fabien Brocheton} and {Jerónimo Castrillón} and {Riccardo Cevasco} and {Vojtech Cima} and {Radim Cmar} and {Dionysios Diamantopoulos} and {Fabrizio Ferrandi} and {Jan Martinovic} and {Gianluca Palermo} and {Michele Paolino} and {Antonio Parodi} and {Lorenzo Pittaluga} and {Daniel Raho} and {Francesco Regazzoni 001} and {Katerina Slaninová} and {Christoph Hagleitner}},
publisher = {IEEE},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2021, Grenoble, France, February 1-5, 2021}
}
@inproceedings{conf/dft/BelliziaMFPRSTV21,
title = {Post-Quantum Cryptography: Challenges and Opportunities for Robust and Secure HW Design.},
year = {2021},
booktitle = {DFT},
author = {{Davide Bellizia} and {Nadia El Mrabet} and {Apostolos P. Fournaris} and {Simon Pontié} and {Francesco Regazzoni 001} and {François-Xavier Standaert} and {Élise Tasso} and {Emanuele Valea}},
publisher = {IEEE},
booktitle = {36th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2021, Athens, Greece, October 6-8, 2021}
}
@inproceedings{conf/dsd/ValenciaPR21,
title = {Extending Circuit Design Flow for Early Assessment of Fault Attack Vulnerabilities.},
year = {2021},
booktitle = {DSD},
author = {{Felipe Valencia} and {Ilia Polian} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {24th Euromicro Conference on Digital System Design, DSD 2021, Virtual Event / Palermo, Sicily, Italy, September 1-3, 2021}
}
@inproceedings{conf/ets/0001AAB0MEGHHHK21,
title = {Security, Reliability and Test Aspects of the RISC-V Ecosystem.},
year = {2021},
booktitle = {ETS},
author = {{Jaume Abella 001} and {Sergi Alcaide} and {Jens Anders} and {Francisco Bas} and {Steffen Becker 001} and {Elke De Mulder} and {Nourhan Elhamawy} and {Frank K. Gürkaynak} and {Helena Handschuh} and {Carles Hernández 001} and {Michael Hutter} and {Leonidas Kosmidis} and {Ilia Polian} and {Matthias Sauer 002} and {Stefan Wagner 001} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {26th IEEE European Test Symposium, ETS 2021, Bruges, Belgium, May 24-28, 2021}
}
@article{journals/caaitrit/BhasinGR21,
title = {Special Section on Attacking and Protecting Artificial Intelligence.},
year = {2021},
journal = {CAAI Trans. Intell. Technol.},
author = {{Shivam Bhasin} and {Siddharth Garg} and {Francesco Regazzoni 001}}
}
@article{journals/caaitrit/RegazzoniPSCP21,
title = {Protecting artificial intelligence IPs: a survey of watermarking and fingerprinting for machine learning.},
year = {2021},
journal = {CAAI Trans. Intell. Technol.},
author = {{Francesco Regazzoni 001} and {Paolo Palmieri 001} and {Fethulah Smailbegovic} and {Rosario Cammarota} and {Ilia Polian}}
}
@article{journals/corr/abs-2102-06238,
title = {A High Speed Integrated Quantum Random Number Generator with on-Chip Real-Time Randomness Extraction.},
year = {2021},
journal = {CoRR},
author = {{Francesco Regazzoni 001} and {Emna Amri} and {Samuel Burri} and {Davide Rusca} and {Hugo Zbinden} and {Edoardo Charbon}}
}
@article{journals/corr/abs-2104-01446,
title = {High-Level Synthesis of Security Properties via Software-Level Abstractions.},
year = {2021},
journal = {CoRR},
author = {{Christian Pilato} and {Francesco Regazzoni 001}}
}
@article{journals/dt/CammarotaR21,
title = {Guest Editors' Introduction: Special Issue on Top Picks in Hardware and Embedded Security.},
year = {2021},
journal = {IEEE Des. Test},
author = {{Rosario Cammarota} and {Francesco Regazzoni 001}}
}
@article{journals/iacr/EbrahimiRP21,
title = {Reducing the Cost of Machine Learning Differential Attacks Using Bit Selection and aPartial ML-Distinguisher.},
year = {2021},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Amirhossein Ebrahimi} and {Francesco Regazzoni 001} and {Paolo Palmieri 001}}
}
@article{journals/jce/HoweMOR21,
title = {Exploring Parallelism to Improve the Performance of FrodoKEM in Hardware.},
year = {2021},
journal = {J. Cryptogr. Eng.},
author = {{James Howe} and {Marco Martinoli} and {Elisabeth Oswald} and {Francesco Regazzoni 001}}
}
@article{journals/tches/GaoGMPPR21,
title = {An Instruction Set Extension to Support Software-Based Masking.},
year = {2021},
journal = {IACR Trans. Cryptogr. Hardw. Embed. Syst.},
author = {{Si Gao} and {Johann Großschädl} and {Ben Marshall} and {Dan Page} and {Thinh Hung Pham} and {Francesco Regazzoni 001}}
}
@article{journals/tdsc/ChhetriBF0C021,
title = {Tool of Spies: Leaking your IP by Altering the 3D Printer Compiler.},
year = {2021},
journal = {IEEE Trans. Dependable Secur. Comput.},
author = {{Sujit Rokka Chhetri} and {Anomadarshi Barua} and {Sina Faezi} and {Francesco Regazzoni 001} and {Arquimedes Canedo} and {Mohammad Abdullah Al Faruque}}
}
@incollection{books/sp/22/PilatoS0GK22,
title = {Protecting Hardware IP Cores During High-Level Synthesis.},
year = {2022},
booktitle = {Behavioral Synthesis for Hardware Security},
author = {{Christian Pilato} and {Donatella Sciuto} and {Francesco Regazzoni 001} and {Siddharth Garg} and {Ramesh Karri}}
}
@inproceedings{conf/asianhost/NayakURP22,
title = {On the Limitations of Logic Locking the Approximate Circuits.},
year = {2022},
booktitle = {AsianHOST},
author = {{Kartik Nayak} and {Devanshi Upadhyaya} and {Francesco Regazzoni 001} and {Ilia Polian}},
publisher = {IEEE},
booktitle = {Asian Hardware Oriented Security and Trust Symposium, AsianHOST 2022, Singapore, Singapore, December 14-16, 2022}
}
@inproceedings{conf/ccs/Dijk022,
title = {CCSW '22: The 2022 Cloud Computing Security Workshop.},
year = {2022},
booktitle = {CCS},
author = {{Marten van Dijk} and {Francesco Regazzoni 001}},
publisher = {ACM},
booktitle = {Proceedings of the 2022 ACM SIGSAC Conference on Computer and Communications Security, CCS 2022, Los Angeles, CA, USA, November 7-11, 2022}
}
@inproceedings{conf/cf/Slooff0BPC22,
title = {Anomaly detection to improve security of big data analytics.},
year = {2022},
booktitle = {CF},
author = {{Tom Slooff} and {Francesco Regazzoni 001} and {Fabien Brocheton} and {Antonio Parodi} and {Radim Cmar}},
publisher = {ACM},
booktitle = {CF '22: 19th ACM International Conference on Computing Frontiers, Turin, Italy, May 17 - 22, 2022}
}
@inproceedings{conf/dsd/GuerrieriMRU22,
title = {Optimizing Lattice-based Post-Quantum Cryptography Codes for High-Level Synthesis.},
year = {2022},
booktitle = {DSD},
author = {{Andrea Guerrieri} and {Gabriel Da Silva Marques} and {Francesco Regazzoni 001} and {Andres Upegui}},
publisher = {IEEE},
booktitle = {25th Euromicro Conference on Digital System Design, DSD 2022, Maspalomas, Spain, August 31 - Sept. 2, 2022}
}
@inproceedings{conf/fps/EbrahimiRP22,
title = {Reducing the Cost of Machine Learning Differential Attacks Using Bit Selection and a Partial ML-Distinguisher.},
year = {2022},
booktitle = {FPS},
author = {{Amirhossein Ebrahimi} and {Francesco Regazzoni 001} and {Paolo Palmieri 001}},
publisher = {Springer},
booktitle = {Foundations and Practice of Security - 15th International Symposium, FPS 2022, Ottawa, ON, Canada, December 12-14, 2022, Revised Selected Papers}
}
@inproceedings{conf/space/BanikCPR22,
title = {Bias Cancellation of MixColumns.},
year = {2022},
booktitle = {SPACE},
author = {{Subhadeep Banik} and {Andrea Caforio} and {Kostas Papagiannopoulos} and {Francesco Regazzoni 001}},
publisher = {Springer},
booktitle = {Security, Privacy, and Applied Cryptography Engineering - 12th International Conference, SPACE 2022, Jaipur, India, December 9-12, 2022, Proceedings}
}
@article{journals/corr/abs-2206-03259,
title = {Future Computer Systems and Networking Research in the Netherlands: A Manifesto.},
year = {2022},
journal = {CoRR},
author = {{Alexandru Iosup} and {Fernando Kuipers} and {Ana Lucia Varbanescu} and {Paola Grosso} and {Animesh Trivedi} and {Jan S. Rellermeyer} and {Lin Wang 015} and {Alexandru Uta} and {Francesco Regazzoni 001}}
}
@article{journals/iacr/CaforioCB022,
title = {A Small GIFT-COFB: Lightweight Bit-Serial Architectures.},
year = {2022},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Andrea Caforio} and {Daniel Collins 001} and {Subhadeep Banik} and {Francesco Regazzoni 001}}
}
@article{journals/iacr/Papagiannopoulos22,
title = {The Side-Channel Metric Cheat Sheet.},
year = {2022},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Kostas Papagiannopoulos} and {Ognjen Glamocanin} and {Melissa Azouaoui} and {Dorian Ros} and {Francesco Regazzoni 001} and {Mirjana Stojilovic}}
}
@article{journals/tifs/FukamiSRGL22,
title = {Experimental Evaluation of e.MMC Data Recovery.},
year = {2022},
journal = {IEEE Trans. Inf. Forensics Secur.},
author = {{Aya Fukami} and {Sasha Sheremetov} and {Francesco Regazzoni 001} and {Zeno J. M. H. Geradts} and {Cees de Laat}}
}
@inproceedings{conf/asap/KremerBBAR23,
title = {Resource-Constrained Encryption: Extending Ibex with a QARMA Hardware Accelerator.},
year = {2023},
booktitle = {ASAP},
author = {{Mathijs De Kremer} and {Marco Brohet} and {Subhadeep Banik} and {Roberto Avanzi} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {34th IEEE International Conference on Application-specific Systems, Architectures and Processors, ASAP 2023, Porto, Portugal, July 19-21, 2023}
}
@inproceedings{conf/aspdac/Fukami0G23,
title = {Data Sanitization on eMMCs.},
year = {2023},
booktitle = {ASP-DAC},
author = {{Aya Fukami} and {Francesco Regazzoni 001} and {Zeno J. M. H. Geradts}},
publisher = {ACM},
booktitle = {Proceedings of the 28th Asia and South Pacific Design Automation Conference, ASPDAC 2023, Tokyo, Japan, January 16-19, 2023}
}
@inproceedings{conf/ccs/0001F23,
title = {CCSW '23: Cloud Computing Security Workshop.},
year = {2023},
booktitle = {CCS},
author = {{Francesco Regazzoni 001} and {Apostolos P. Fournaris}},
publisher = {ACM},
booktitle = {Proceedings of the 2023 ACM SIGSAC Conference on Computer and Communications Security, CCS 2023, Copenhagen, Denmark, November 26-30, 2023}
}
@inproceedings{conf/coins/FournarisTBR23,
title = {Running Longer To Slim Down: Post-Quantum Cryptography on Memory-Constrained Devices.},
year = {2023},
booktitle = {COINS},
author = {{Apostolos P. Fournaris} and {George Tasopoulos} and {Marco Brohet} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {IEEE International Conference on Omni-layer Intelligent Systems, COINS 2023, Berlin, Germany, July 23-25, 2023}
}
@inproceedings{conf/ets/MasciulloPRP23,
title = {Secrets Leaking Through Quicksand: Covert Channels in Approximate Computing.},
year = {2023},
booktitle = {ETS},
author = {{Lorenzo Masciullo} and {Roberto Passerone} and {Francesco Regazzoni 001} and {Ilia Polian}},
publisher = {IEEE},
booktitle = {IEEE European Test Symposium, ETS 2023, Venezia, Italy, May 22-26, 2023}
}
@inproceedings{conf/iccad/BrohetVR23,
title = {Invited Paper: Instruction Set Extensions for Post-Quantum Cryptography.},
year = {2023},
booktitle = {ICCAD},
author = {{Marco Brohet} and {Felipe Valencia} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {IEEE/ACM International Conference on Computer Aided Design, ICCAD 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}
}
@inproceedings{conf/ijcnn/BergSBPR23,
title = {Data Under Siege: The Quest for the Optimal Convolutional Autoencoder in Side-Channel Attacks.},
year = {2023},
booktitle = {IJCNN},
author = {{Danny van den Berg} and {Tom Slooff} and {Marco Brohet} and {Kostas Papagiannopoulos} and {Francesco Regazzoni 001}},
publisher = {IEEE},
booktitle = {International Joint Conference on Neural Networks, IJCNN 2023, Gold Coast, Australia, June 18-23, 2023}
}
@inproceedings{conf/ipps/BiesmansRM23,
title = {Application-specific FPGAs: cryptographic agility through customized reconfigurable architectures.},
year = {2023},
booktitle = {IPDPS Workshops},
author = {{Jelle Biesmans} and {Francesco Regazzoni 001} and {Nele Mentens}},
publisher = {IEEE},
booktitle = {IEEE International Parallel and Distributed Processing Symposium, IPDPS 2023 - Workshops, St. Petersburg, FL, USA, May 15-19, 2023}
}
@inproceedings{conf/isqed/GuerrieriM0U23,
title = {H-Saber: An FPGA-Optimized Version for Designing Fast and Efficient Post-Quantum Cryptography Hardware Accelerators.},
year = {2023},
booktitle = {ISQED},
author = {{Andrea Guerrieri} and {Gabriel Da Silva Marques} and {Francesco Regazzoni 001} and {Andres Upegui}},
publisher = {IEEE},
booktitle = {24th International Symposium on Quality Electronic Design, ISQED 2023, San Francisco, CA, USA, April 5-7, 2023}
}
@inproceedings{conf/iwasi/RegazzoniPF23,
title = {Treating a different kind of patient: curing security weaknesses in digital health systems of the future.},
year = {2023},
booktitle = {IWASI},
author = {{Francesco Regazzoni 001} and {Paolo Palmieri 001} and {Apostolos P. Fournaris}},
publisher = {IEEE},
booktitle = {9th International Workshop on Advances in Sensors and Interfaces, IWASI 2023, Monopoli, Bari, Italy, June 8-9, 2023}
}
@article{journals/csur/Papagiannopoulos23,
title = {The Side-channel Metrics Cheat Sheet.},
year = {2023},
journal = {ACM Comput. Surv.},
author = {{Kostas Papagiannopoulos} and {Ognjen Glamocanin} and {Melissa Azouaoui} and {Dorian Ros} and {Francesco Regazzoni 001} and {Mirjana Stojilovic}}
}
@article{journals/iacr/AvanziBDEGNR23,
title = {The tweakable block cipher family QARMAv2.},
year = {2023},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Roberto Avanzi} and {Subhadeep Banik} and {Orr Dunkelman} and {Maria Eichlseder} and {Shibam Ghosh} and {Marcel Nageler} and {Francesco Regazzoni 001}}
}
@article{journals/tosc/AvanziBDEGNR23,
title = {The QARMAv2 Family of Tweakable Block Ciphers.},
year = {2023},
journal = {IACR Trans. Symmetric Cryptol.},
author = {{Roberto Avanzi} and {Subhadeep Banik} and {Orr Dunkelman} and {Maria Eichlseder} and {Shibam Ghosh} and {Marcel Nageler} and {Francesco Regazzoni 001}}
}
@article{journals/pieee/StojilovicRRTT23,
title = {A Visionary Look at the Security of Reconfigurable Cloud Computing.},
year = {2023},
month = {December},
journal = {Proc. IEEE},
author = {{Mirjana Stojilovic} and {Kasper Rasmussen} and {Francesco Regazzoni 001} and {Mehdi B. Tahoori} and {Russell Tessier}}
}
@article{journals/corr/abs-2402-12612,
title = {A System Development Kit for Big Data Applications on FPGA-based Clusters: The EVEREST Approach.},
year = {2024},
journal = {CoRR},
author = {{Christian Pilato} and {Subhadeep Banik} and {Jakub Beránek} and {Fabien Brocheton} and {Jerónimo Castrillón} and {Riccardo Cevasco} and {Radim Cmar} and {Serena Curzel} and {Fabrizio Ferrandi} and {Karl F. A. Friebel} and {Antonella Galizia} and {Matteo Grasso} and {Paulo Silva 002} and {Jan Martinovic} and {Gianluca Palermo} and {Michele Paolino} and {Andrea Parodi} and {Antonio Parodi} and {Fabio Pintus} and {Raphael Polig} and {David Poulet} and {Francesco Regazzoni 001} and {Burkhard Ringlein} and {Roberto Rocco} and {Katerina Slaninová} and {Tom Slooff} and {Stephanie Soldavini} and {Felix Suchert} and {Mattia Tibaldi} and {Beat Weiss} and {Christoph Hagleitner}}
}
@article{journals/dt/PicekHJB024,
title = {Tipping the Balance: Imbalanced Classes in Deep-Learning Side-Channel Analysis.},
year = {2024},
journal = {IEEE Des. Test},
author = {{Stjepan Picek} and {Annelie Heuser} and {Alan Jovic} and {Shivam Bhasin} and {Francesco Regazzoni 001}}
}
@article{journals/tches/BanikR24,
title = {Compact Circuits for Efficient Möbius Transform.},
year = {2024},
journal = {IACR Trans. Cryptogr. Hardw. Embed. Syst.},
author = {{Subhadeep Banik} and {Francesco Regazzoni 001}}
}
@article{journals/csur/BrohetR24,
title = {A Survey on Thwarting Memory Corruption in RISC-V.},
year = {2024},
month = {February},
journal = {ACM Comput. Surv.},
author = {{Marco Brohet} and {Francesco Regazzoni 001}}
}