Frederic T. Chong

Affiliations:
  • University of Chicago, IL, USA
  • University of California, Santa Barbara, CA, USA


According to our database1, Frederic T. Chong authored at least 209 papers between 1992 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
A Hybrid Optical-Electrical Analog Deep Learning Accelerator Using Incoherent Optical Signals.
ACM J. Emerg. Technol. Comput. Syst., April, 2023

DGR: Tackling Drifted and Correlated Noise in Quantum Error Correction via Decoding Graph Re-weighting.
CoRR, 2023

RobustState: Boosting Fidelity of Quantum State Preparation via Noise-Aware Variational Training.
CoRR, 2023

Decomposing and Routing Quantum Circuits Under Constraints for Neutral Atom Architectures.
CoRR, 2023

Fundamental causal bounds of quantum random access memories.
CoRR, 2023

Clifford Assisted Optimal Pass Selection for Quantum Transpilation.
CoRR, 2023

Microarchitectures for Heterogeneous Superconducting Quantum Computers.
CoRR, 2023

Spacetime-Efficient Low-Depth Quantum State Preparation with Applications.
CoRR, 2023

QContext: Context-Aware Decomposition for Quantum Gates.
CoRR, 2023

SnCQA: A hardware-efficient equivariant quantum convolutional circuit architecture.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2023

DISQ: Dynamic Iteration Skipping for Variational Quantum Algorithms.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2023

QuantumSEA: In-Time Sparse Exploration for Noise Adaptive Quantum Circuits.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2023

Efficient Control Pulses for Continuous Quantum Gate Families Through Coordinated Re-Optimization.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2023


HetArch: Heterogeneous Microarchitectures for Superconducting Quantum Systems.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

QContext: Context-Aware Decomposition for Quantum Gates.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Clifford-based Circuit Cutting for Quantum Simulation.
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023

Dancing the Quantum Waltz: Compiling Three-Qubit Gates on Four Level Architectures.
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023

Fast Fingerprinting of Cloud-based NISQ Quantum Computers.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2023

Closing the Gap between Quantum Algorithms and Machines with Hardware-Software Co-Design.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

Navigating the Dynamic Noise Landscape of Variational Quantum Algorithms with QISMET.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

CAFQA: A Classical Simulation Bootstrap for Variational Quantum Algorithms.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

Better Than Worst-Case Decoding for Quantum Error Correction.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

Qompress: Efficient Compilation for Ququarts Exploiting Partial and Mixed Radix Operations for Communication Reduction.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

VarSaw: Application-tailored Measurement Error Mitigation for Variational Quantum Algorithms.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

2022
Benchmarking variational quantum circuits with permutation symmetry.
CoRR, 2022

QuEst: Graph Transformer for Quantum Circuit Reliability Estimation.
CoRR, 2022

Boosting Quantum Fidelity with an Ordered Diverse Ensemble of Clifford Canary Circuits.
CoRR, 2022

Have your QEC and Bandwidth too!: A lightweight cryogenic decoder for common / trivial errors, and efficient bandwidth + execution management otherwise.
CoRR, 2022

PAN: Pulse Ansatz on NISQ Machines.
CoRR, 2022

CAFQA: Clifford Ansatz For Quantum Accuracy.
CoRR, 2022

Short-Range Microwave Networks to Scale Superconducting Quantum Computation.
CoRR, 2022

Technical perspective: Applying design-space exploration to quantum architectures.
Commun. ACM, 2022

Training Quantum Boltzmann Machines with Coresets.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2022

Time-Efficient Qudit Gates through Incremental Pulse Re-seeding.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2022

Reducing Runtime Overhead via Use-Based Migration in Neutral Atom Quantum Architectures.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2022

Giallar: push-button verification for the qiskit Quantum compiler.
Proceedings of the PLDI '22: 43rd ACM SIGPLAN International Conference on Programming Language Design and Implementation, San Diego, CA, USA, June 13, 2022

Scaling Superconducting Quantum Computers with Chiplet Architectures.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

Let Each Quantum Bit Choose Its Basis Gates.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

Communication Trade Offs in Intermediate Qudit Circuits.
Proceedings of the 52nd IEEE International Symposium on Multiple-Valued Logic, 2022

Quancorde: Boosting fidelity with Quantum Canary Ordered Diverse Ensembles.
Proceedings of the IEEE International Conference on Rebooting Computing, 2022

TorchQuantum Case Study for Robust Quantum Circuits.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

QuantumNAS: Noise-Adaptive Search for Robust Quantum Circuits.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

SupermarQ: A Scalable Quantum Benchmark Suite.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

VAQEM: A Variational Approach to Quantum Error Mitigation.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

DigiQ: A Scalable Digital Controller for Quantum Computers Using SFQ Logic.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

QuantumNAT: quantum noise-aware training with noise injection, quantization and normalization.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
Virtual Logical Qubits: A Compact Architecture for Fault-Tolerant Quantum Computing.
IEEE Micro, 2021

Emerging Technologies for Quantum Computing.
IEEE Micro, 2021

RoQNN: Noise-Aware Training for Robust Quantum Neural Networks.
CoRR, 2021

Faster and More Reliable Quantum SWAPs via Native Gates.
CoRR, 2021

Gleipnir: Toward Practical Error Analysis for Quantum Programs (Extended Version).
CoRR, 2021

Adaptive job and resource management for the growing quantum cloud.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2021

Adaptive Circuit Learning for Quantum Metrology.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2021

Adapting Quantum Approximation Optimization Algorithm (QAOA) for Unit Commitment.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2021

Practical implications of SFQ-based two-qubit gates.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2021

Quantum Fan-out: Circuit Optimizations and Technology Modeling.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2021

Gleipnir: toward practical error analysis for Quantum programs.
Proceedings of the PLDI '21: 42nd ACM SIGPLAN International Conference on Programming Language Design and Implementation, 2021

Exploiting Long-Distance Interactions and Tolerating Atom Loss in Neutral Atom Quantum Architectures.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021

Quantum Computing in the Cloud: Analyzing job and machine characteristics.
Proceedings of the IEEE International Symposium on Workload Characterization, 2021

Reoptimization of Quantum Circuits via Hierarchical Synthesis.
Proceedings of the 2021 International Conference on Rebooting Computing (ICRC), Los Alamitos, CA, USA, November 30, 2021

Optimized Quantum Program Execution Ordering to Mitigate Errors in Simulations of Quantum Systems.
Proceedings of the 2021 International Conference on Rebooting Computing (ICRC), Los Alamitos, CA, USA, November 30, 2021

TILT: Achieving Higher Fidelity on a Trapped-Ion Linear-Tape Quantum Computing Architecture.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

A Hybrid Optical-Electrical Analog Deep Learning Accelerator Using Incoherent Optical Signals.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

Orchestrated trios: compiling for efficient communication in Quantum programs with 3-Qubit gates.
Proceedings of the ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021

2020
Quantum Computer Systems: Research for Noisy Intermediate-Scale Quantum Computers
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01765-0, 2020

Resource-Efficient Quantum Computing by Breaking Abstractions.
Proc. IEEE, 2020

Extending the Frontier of Quantum Computers With Qutrits.
IEEE Micro, 2020

Coreset Clustering on Small Quantum Computers.
CoRR, 2020

Efficient Quantum Circuit Decompositions via Intermediate Qudits.
CoRR, 2020

Optimization of Simultaneous Measurement for Variational Quantum Eigensolver Applications.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2020

Optimized Quantum Compilation for Near-Term Algorithms with OpenPulse.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

Virtualized Logical Qubits: A 2.5D Architecture for Error-Corrected Quantum Computing.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

Systematic Crosstalk Mitigation for Superconducting Qubits via Frequency-Aware Compilation.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

NISQ+: Boosting quantum computing power by approximating quantum error correction.
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020

SQUARE: Strategic Quantum Ancilla Reuse for Modular Quantum Programs via Cost-Effective Uncomputation.
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020

Baldur: A Power-Efficient and Scalable Network Using All-Optical Switches.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020

Time-sliced quantum circuit partitioning for modular architectures.
Proceedings of the 17th ACM International Conference on Computing Frontiers, 2020

Memory-Equipped Quantum Architectures: The Power of Random Access.
Proceedings of the PACT '20: International Conference on Parallel Architectures and Compilation Techniques, 2020

2019
Quick-and-Dirty: An Architecture for High-Performance Temporary Short Writes in MLC PCM.
IEEE Trans. Computers, 2019

Formal constraint-based compilation for noisy intermediate-scale quantum systems.
Microprocess. Microsystems, 2019

Resource optimized quantum architectures for surface code implementations of magic-state distillation.
Microprocess. Microsystems, 2019

Use cases of lossy compression for floating-point data in scientific data sets.
Int. J. High Perform. Comput. Appl., 2019

Contract-based verification of a realistic quantum compiler.
CoRR, 2019

Decomposing Quantum Generalized Toffoli with an Arbitrary Number of Ancilla.
CoRR, 2019

Full-state quantum circuit simulation by using data compression.
Proceedings of the International Conference for High Performance Computing, 2019

Direct-modulated optical networks for interposer systems.
Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip, 2019

Partial Compilation of Variational Algorithms for Noisy Intermediate-Scale Quantum Machines.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

Asymptotic improvements to quantum circuits via qutrits.
Proceedings of the 46th International Symposium on Computer Architecture, 2019

Balancing Performance and Energy Efficiency of ONoC by Using Adaptive Bandwidth.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019

Protecting Page Tables from RowHammer Attacks using Monotonic Pointers in DRAM True-Cells.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019

Optimized Compilation of Aggregated Instructions for Realistic Quantum Computers.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019

Noise-Adaptive Compiler Mappings for Noisy Intermediate-Scale Quantum Computers.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019

2018
Data Center Energy Efficiency.
Proceedings of the Encyclopedia of Database Systems, Second Edition, 2018

Memory-Efficient Quantum Circuit Simulation by Using Lossy Data Compression.
CoRR, 2018

Amplitude-Aware Lossy Compression for Quantum Circuit Simulation.
CoRR, 2018

Magic-State Functional Units: Mapping and Scheduling Multi-Level Distillation Circuits for Fault-Tolerant Quantum Architectures.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

Cooperative NV-NUMA: prolonging non-volatile memory lifetime through bandwidth sharing.
Proceedings of the International Symposium on Memory Systems, 2018

Charm: A Language for Closed-Form High-Level Architecture Modeling.
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018

Quantum Computing is Getting Real: Architecture, PL, and OS Roles in Closing the Gap between Quantum Algorithms and Machines.
Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, 2018

2017
Programming languages and compiler design for realistic quantum hardware.
Nat., 2017

Quantum Computing and Irregular Applications.
Proceedings of the Seventh Workshop on Irregular Applications: Architectures and Algorithms, 2017

Optimized surface code communication in superconducting quantum computers.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017

Memory cocktail therapy: a general learning-based framework to optimize dynamic tradeoffs in NVMs.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017

Thermal-aware, heterogeneous materials for improved energy and reliability in 3D PCM architectures.
Proceedings of the International Symposium on Memory Systems, 2017

Predicting memory page stability and its application to memory deduplication and live migration.
Proceedings of the 2017 IEEE International Symposium on Performance Analysis of Systems and Software, 2017

Lemonade from Lemons: Harnessing Device Wearout to Create Limited-Use Security Architectures.
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017

Quick-and-Dirty: Improving Performance of MLC PCM by Using Temporary Short Writes.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Balancing Performance and Lifetime of MLC PCM by Using a Region Retention Monitor.
Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture, 2017

Challenging on-chip SRAM security with boot-state statistics.
Proceedings of the 2017 IEEE International Symposium on Hardware Oriented Security and Trust, 2017

2016
IMR: High-Performance Low-Cost Multi-Ring NoCs.
IEEE Trans. Parallel Distributed Syst., 2016

Impact of Future Technologies on Architecture.
IEEE Micro, 2016

Mellow Writes: Extending Lifetime in Resistive Memories through Selective Slow Write Backs.
Proceedings of the 43rd ACM/IEEE Annual International Symposium on Computer Architecture, 2016

2015
ScaffCC: Scalable compilation and analysis of quantum programs.
Parallel Comput., 2015

Herniated Hash Tables: Exploiting Multi-Level Phase Change Memory for In-Place Data Expansion.
Proceedings of the 2015 International Symposium on Memory Systems, 2015

Compiler Management of Communication and Parallelism for Quantum Computation.
Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, 2015

2014
Networks on Chip with Provable Security Properties.
IEEE Micro, 2014

Data Center Energy Efficiency: Improving Energy Efficiency in Data Centers Beyond Technology Scaling.
IEEE Des. Test, 2014

Bridging the energy-efficiency gap in a future of massive data.
Proceedings of the 2014 IEEE International Symposium on Performance Analysis of Systems and Software, 2014

ReDHiP: Recalibrating Deep Hierarchy Prediction for Energy Efficiency.
Proceedings of the 2014 IEEE 28th International Parallel and Distributed Processing Symposium, 2014

Characterizing the performance effect of trials and rotations in applications that use Quantum Phase Estimation.
Proceedings of the 2014 IEEE International Symposium on Workload Characterization, 2014

ScaffCC: a framework for compilation and analysis of quantum computing programs.
Proceedings of the Computing Frontiers Conference, CF'14, 2014

Sapper: a language for hardware-level security policy enforcement.
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2014

2013
Special Issue: Selected papers from the 2012 IEEE International Green Computing Conference (IGCC 2012).
Sustain. Comput. Informatics Syst., 2013

Position paper: Sapper - a language for provable hardware policy enforcement.
Proceedings of the 2013 ACM SIGPLAN Workshop on Programming Languages and Analysis for Security, 2013

SurfNoC: a low latency and provably non-interfering approach to secure networks-on-chip.
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013

Quantum rotations: a case study in static and dynamic machine-code generation for quantum computers.
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013

QuRE: The Quantum Resource Estimator toolbox.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

Memristors for neural branch prediction: a case study in strict latency and write endurance challenges.
Proceedings of the Computing Frontiers Conference, 2013

A Case for Energy-Aware Security Mechanisms.
Proceedings of the 27th International Conference on Advanced Information Networking and Applications Workshops, 2013

2012
Barely alive memory servers: Keeping data active in a low-power state.
ACM J. Emerg. Technol. Comput. Syst., 2012

A Study of Reusing Smartphones to Augment Elementary School Education.
Int. J. Handheld Comput. Res., 2012

Opportunities and Challenges of Using Plasmonic Components in Nanophotonic Architectures.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012

Building Technologies that Help Cyber-Defense: Hardware-enabled Trust.
Proceedings of the ISSE 2012, 2012

LogStore: toward energy-proportional storage servers.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

Power-Aware Resource Allocation for CPU- and Memory-Intense Internet Services.
Proceedings of the Energy Efficient Data Centers - First International Workshop, 2012

2011
Quantum Computing for Computer Architects, Second Edition
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01731-5, 2011

Caisson: a hardware description language for secure information flow.
Proceedings of the 32nd ACM SIGPLAN Conference on Programming Language Design and Implementation, 2011

Crafting a usable microkernel, processor, and I/O system with strict and provable information flow security.
Proceedings of the 38th International Symposium on Computer Architecture (ISCA 2011), 2011

Fighting fire with fire: modeling the datacenter-scale effects of targeted superlattice thermal management.
Proceedings of the 38th International Symposium on Computer Architecture (ISCA 2011), 2011

Exploiting Data Similarity to Reduce Memory Footprints.
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011

2010
Gate-Level Information-Flow Tracking for Secure Architectures.
IEEE Micro, 2010

Secure information flow analysis for hardware design: using the right abstraction for the job.
Proceedings of the 2010 Workshop on Programming Languages and Analysis for Security, 2010

Minimal Multi-threading: Finding and Removing Redundant Instructions in Multi-threaded Processors.
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010

Smartphone Evolution and Reuse: Establishing a More Sustainable Model.
Proceedings of the 39th International Conference on Parallel Processing, 2010

A case for smartphone reuse to augment elementary school education.
Proceedings of the International Green Computing Conference 2010, 2010

Quantifying the environmental advantages of large-scale computing.
Proceedings of the International Green Computing Conference 2010, 2010

Function flattening for lease-based, information-leak-free systems.
Proceedings of the 21st IEEE International Conference on Application-specific Systems Architectures and Processors, 2010

2009
Putting Trojans on the Horns of a Dilemma: Redundancy for Information Theft Detection.
Trans. Comput. Sci., 2009

Execution leases: a hardware-supported mechanism for enforcing strong non-interference.
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009

PSMalloc: content based memory management for MPI applications.
Proceedings of the 10th workshop on MEmory performance, 2009

Conflict-Avoidance in Multicore Caching for Data-Similar Executions.
Proceedings of the 10th International Symposium on Pervasive Systems, 2009

Multi-execution: multicore caching for data-similar executions.
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009

Complete information flow tracking from the gates up.
Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems, 2009

2008
High-level interconnect model for the quantum logic array architecture.
ACM J. Emerg. Technol. Comput. Syst., 2008

Exploring the Processor and ISA Design for Wireless Sensor Network Applications.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Bezoar: Automated virtual machine-based full-system recovery from control-flow hijacking attacks.
Proceedings of the IEEE/IFIP Network Operations and Management Symposium: Pervasive Management for Ubioquitous Networks and Services, 2008

From Speculation to Security: Practical and Efficient Information Flow Tracking Using Speculative Hardware.
Proceedings of the 35th International Symposium on Computer Architecture (ISCA 2008), 2008

Credit-based dynamic reliability management using online wearout detection.
Proceedings of the 5th Conference on Computing Frontiers, 2008

2007
Using Application Bisection Bandwidth to Guide Tile Size Selection for the Synchroscalar Tile-Based Architecture.
Trans. High Perform. Embed. Archit. Compil., 2007

Life Cycle Aware Computing: Reusing Silicon Technology.
Computer, 2007

Design-space exploration of fault-tolerant building blocks for large-scale quantum computing.
Proceedings of the 2007 IEEE International Symposium on Nanoscale Architectures, 2007

A pageable, defect-tolerant nanoscale memory system.
Proceedings of the 2007 IEEE International Symposium on Nanoscale Architectures, 2007

Combining static and dynamic defect-tolerance techniques for nanoscale memory systems.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

2006
Quantum Computing for Computer Architects
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01718-6, 2006

Minos: Architectural support for protecting control data.
ACM Trans. Archit. Code Optim., 2006

Synchroscalar: Evaluation of an embedded, multi-core architecture for media applications.
J. Embed. Comput., 2006

Quantum Memory Hierarchies: Efficient Designs to Match Available Parallelism in Quantum Computing.
Proceedings of the 33rd International Symposium on Computer Architecture (ISCA 2006), 2006

Characterization of Error-Tolerant Applications when Protecting Control Data.
Proceedings of the 2006 IEEE International Symposium on Workload Characterization, 2006

A Realizable Distributed Ion-Trap Quantum Computer.
Proceedings of the High Performance Computing, 2006

Tile size selection for low-power tile-based architectures.
Proceedings of the Third Conference on Computing Frontiers, 2006

ExecRecorder: VM-based full-system replay for attack analysis and system recovery.
Proceedings of the 1st Workshop on Architectural and System Support for Improving Software Dependability, 2006

Temporal search: detecting hidden malware timebombs with virtual machines.
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, 2006

2005
A security assessment of the minos architecture.
SIGARCH Comput. Archit. News, 2005

Recursive TMR: Scaling Fault Tolerance in the Nanoscale Era.
IEEE Des. Test Comput., 2005

Experiences Using Minos as a Tool for Capturing and Analyzing Novel Worms for Unknown Vulnerabilities.
Proceedings of the Detection of Intrusions and Malware, 2005

On deriving unknown vulnerabilities from zero-day polymorphic and metamorphic worm exploits.
Proceedings of the 12th ACM Conference on Computer and Communications Security, 2005

2004
Datapath and control for quantum wires.
ACM Trans. Archit. Code Optim., 2004

Ions, atoms, and bits: An architectural approach to quantum computing.
Adv. Comput., 2004

Efficient orchestration of sub-word parallelism in media processors.
Proceedings of the SPAA 2004: Proceedings of the Sixteenth Annual ACM Symposium on Parallelism in Algorithms and Architectures, 2004

Minos: Control Data Attack Prevention Orthogonal to Memory Model.
Proceedings of the 37th Annual International Symposium on Microarchitecture (MICRO-37 2004), 2004

Synchroscalar: A Multiple Clock Domain, Power-Aware, Tile-Based Embedded Processor.
Proceedings of the 31st International Symposium on Computer Architecture (ISCA 2004), 2004

2003
Cache Coherence in Intelligent Memory Systems.
IEEE Trans. Computers, 2003

The effect of communication costs in solid-state quantum computing architectures.
Proceedings of the SPAA 2003: Proceedings of the Fifteenth Annual ACM Symposium on Parallelism in Algorithms and Architectures, 2003

Synchroscalar: Initial Lessons in Power-Aware Design of a Tile-Based Embedded Architecture.
Proceedings of the Power-Aware Computer Systems, Third International Workshop, 2003

Building Quantum Wires: The Long and the Short of It.
Proceedings of the 30th International Symposium on Computer Architecture (ISCA 2003), 2003

2002
Hardware-software co-design of embedded sensor-actuator networks.
SIGARCH Comput. Archit. News, 2002

Operating Systems Techniques for Parallel Computation in Intelligent Memory.
Parallel Process. Lett., 2002

Using Statistical and Symbolic Simulation for Microprocessor Performance Evaluation.
J. Instr. Level Parallelism, 2002

A Practical Architecture for Reliable Quantum Computers.
Computer, 2002

HLSpower: Hybrid Statistical Modeling of the Superscalar Power-Performance Design Space.
Proceedings of the High Performance Computing, 2002

2000
Algorithmic Complexity with Page-Based Intelligent Memory.
Parallel Process. Lett., 2000

HLS: combining statistical and symbolic simulation to guide microprocessor designs.
Proceedings of the 27th International Symposium on Computer Architecture (ISCA 2000), 2000

Reducing Cost and Tolerating Defects in Page-based Intelligent Memory.
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000

1999
Shared Memory Versus Message Passing for Iterative Solution of Sparse Irregular Problems.
Parallel Process. Lett., 1999

The MIT Alewife Machine.
Proc. IEEE, 1999

Exploiting ILP in Page-based Intelligent Memory.
Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture, 1999

ActiveOS: Virtualizing Intelligent Memory.
Proceedings of the IEEE International Conference On Computer Design, 1999

1998
Active Pages: A Computation Model for Intelligent Memory.
Proceedings of the 25th Annual International Symposium on Computer Architecture, 1998

The Sensitivity of Communication Mechanisms to Bandwidth and Latency.
Proceedings of the Fourth International Symposium on High-Performance Computer Architecture, Las Vegas, Nevada, USA, January 31, 1998

1997
parallel communication mechanisms for sparse, irregular applications.
PhD thesis, 1997

1996
Application Performance on the MIT Alewife Machine.
Computer, 1996

1995
Multiprocessor Runtime Support for Fine-Grained, Irregular Dags.
Parallel Process. Lett., 1995

Remote Queues: Exposing Message Queues for Optimization and Atomicity.
Proceedings of the 7th Annual ACM Symposium on Parallel Algorithms and Architectures, 1995

1994
Scalable expanders: exploiting hierarchical random wiring.
Proceedings of the Twenty-Sixth Annual ACM Symposium on Theory of Computing, 1994

Packaging and Multiplexing of Hierarchical Scalable Expanders.
Proceedings of the Parallel Computer Routing and Communication, 1994

Building a better butterfly: the multiplexed metabutterfly.
Proceedings of the International Symposium on Parallel Architectures, 1994

METRO: A Router Architecture for High-Performance, Short-Haul Routing Networks.
Proceedings of the 21st Annual International Symposium on Computer Architecture. Chicago, 1994

1992
Design and Performance of Multipath MIN Architectures.
Proceedings of the 4th Annual ACM Symposium on Parallel Algorithms and Architectures, 1992


  Loading...