Greg Byrd

Orcid: 0000-0003-3647-8738

Affiliations:
  • North Carolina State University, Raleigh, NC, USA


According to our database1, Greg Byrd authored at least 61 papers between 1989 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Achieving Forward Progress Guarantee in Small Hardware Transactions.
IEEE Comput. Archit. Lett., 2024

2023
Quantum Computing: Progress and Innovation.
Computer, 2023

Message from the Chairs: 2023 IEEE International Conference on Quantum Computing and Engineering.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2023

Message from the Chairs.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2023

Crosstalk-Based Parameterized Quantum Circuit Approximation.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2023

Exploring Architecture of Qiskit Runtime for Educational Enablement.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2023

lfbench: a lock-free microbenchmark suite.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2023

PreFlush: Lightweight Hardware Prediction Mechanism for Cache Line Flush and Writeback.
Proceedings of the 32nd International Conference on Parallel Architectures and Compilation Techniques, 2023

2022
CAPI-Precis: Towards a Compute-Centric Interface for Coherent Shared Memory Accelerators.
Proceedings of the International Conference on Field-Programmable Technology, 2022

2021
QPR: Quantizing PageRank with Coherent Shared Memory Accelerators.
Proceedings of the 35th IEEE International Parallel and Distributed Processing Symposium, 2021

2020
Quantum Circuits for Dynamic Runtime Assertions in Quantum Computation.
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020

2019
Quantum Circuits for Dynamic Runtime Assertions in Quantum Computation.
IEEE Comput. Archit. Lett., 2019

Hybrid Remote Access Protocol.
IEEE Comput. Archit. Lett., 2019

Programming quantum computers: a primer with IBM Q and D-Wave exercises.
Proceedings of the 24th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2019

Diligent TLBs: a mechanism for exploiting heterogeneity in TLB miss behavior.
Proceedings of the ACM International Conference on Supercomputing, 2019

2017
Challenge-Based Learning.
Computer, 2017

The Internet of Everything.
Computer, 2017

2016
Computing Tools and Techniques for Emergency Response.
Computer, 2016

Tactile Digital Braille Display.
Computer, 2016

Seeing Is Understanding.
Computer, 2016

Let the Sun Shine.
Computer, 2016

Home Sweet Mind-Controlled Home.
Computer, 2016

Immortal Bits: Managing Our Digital Legacies.
Computer, 2016

IEEE/IBM Watson Student Showcase.
Computer, 2016

2015
21st Century Pong.
Computer, 2015

Cycling through Cyberspace.
Computer, 2015

Tracking Cows Wirelessly.
Computer, 2015

A Little Ingenuity Solves an Elephant-Sized Problem.
Computer, 2015

Spotlighting Student Innovation.
Computer, 2015

2013
Reducing Migration-induced Misses in an over-Subscribed Multiprocessor System.
Parallel Process. Lett., 2013

2012
Reducing Migration-induced Cache Misses.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012

2011
Welcome to ICCD 2011!
Proceedings of the IEEE 29th International Conference on Computer Design, 2011

A Canonical Multicore Architecture for Network Routers.
Proceedings of the 2011 ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS), 2011

2010
Analyzing and scaling parallelism for network routing protocols.
Proceedings of the 2010 IEEE International Symposium on Workload Characterization, 2010

2009
Adaptive aggregation tree transformation for energy-efficient query processing in sensor networks.
Int. J. Sens. Networks, 2009

Limited early value communication to improve performance of transactional memory.
Proceedings of the 23rd international conference on Supercomputing, 2009

Extending concurrency of transactional memory programs by using value prediction.
Proceedings of the 6th Conference on Computing Frontiers, 2009

2008
Neighborhood-Aware Density Control in Wireless Sensor Networks.
Proceedings of the IEEE International Conference on Sensor Networks, 2008

Exploiting producer patterns and L2 cache for timely dependence-based prefetching.
Proceedings of the 26th International Conference on Computer Design, 2008

An interaction-based access control model (IBAC) for collaborative services.
Proceedings of the 2008 International Symposium on Collaborative Technologies and Systems, 2008

2006
Collaboration Policies: Access Control Management in Decentralized Heterogeneous Workflows.
J. Softw., 2006

Zone Repartitioning: A Load-Balancing Mechanism for Data-Centric Storage Systems.
Int. J. Pervasive Comput. Commun., 2006

Stream-Based Implementation of Hash Functions for Multi-Gigabit Message Authentication Codes.
Proceedings of the Seventh International Conference on Parallel and Distributed Computing, 2006

High-throughput sketch update on a low-power stream processor.
Proceedings of the 2006 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2006

2005
Evaluation of Mutual Trust during Matchmaking.
Proceedings of the Fifth IEEE International Conference on Peer-to-Peer Computing (P2P 2005), 31 August, 2005

Trust-Based Secure Workflow Path Construction.
Proceedings of the Service-Oriented Computing, 2005

2003
Design and implementation of Acceptance Monitor for building intrusion tolerant systems.
Softw. Pract. Exp., 2003

Extending OpenMP to Support Slipstream Execution Mode.
Proceedings of the 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 2003

Slipstream Execution Mode for CMP-Based Multiprocessors.
Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), 2003

Dynamic PKI and Secure Tuplespaces for Distributed Coalitions.
Proceedings of the 3rd DARPA Information Survivability Conference and Exposition (DISCEX-III 2003), 2003

Yalta: A Dynamic PKI and Secure Tuplespaces for Distributed Coalitions.
Proceedings of the 3rd DARPA Information Survivability Conference and Exposition (DISCEX-III 2003), 2003

2001
Practical Experiences with ATM Encryption.
Proceedings of the Network and Distributed System Security Symposium, 2001

On the Exploitation of Value Predication and Producer Identification to Reduce Barrier Synchronization Time.
Proceedings of the 15th International Parallel & Distributed Processing Symposium (IPDPS-01), 2001

Design and implementation of acceptance monitor for building scalable intrusion tolerant system.
Proceedings of the 10th International Conference on Computer Communications and Networks, 2001

1999
Producer-consumer communication in distributed shared memory multiprocessors.
Proc. IEEE, 1999

1998
Effectiveness of Producer-Initiated Communication.
Proceedings of the Thirty-First Annual Hawaii International Conference on System Sciences, 1998

1997
Evaluation of Communication Mechanisms in Invalidate-Based Shared Memory Multiprocessors.
Proceedings of the Parallel Computer Routing and Communication, 1997

1995
Secure Communications in ATM Networks.
Commun. ACM, 1995

Design of a key agile cryptographic system for OC-12c rate ATM.
Proceedings of the 1995 Symposium on Network and Distributed System Security, 1995

1991
Streamline: Cache-Based Message Passing in Scalable Multiprocessors.
Proceedings of the International Conference on Parallel Processing, 1991

1989
Multicast Communication in Multiprocessor Systems.
Proceedings of the International Conference on Parallel Processing, 1989


  Loading...