Hiroshi Kawaguchi

Orcid: 0000-0001-8677-4733

Affiliations:
  • Kobe University, Graduate School of Science, Technology and Innovation, Japan
  • University of Tokyo, Tokyo, Center for Collaborative Research, Japan (PhD 2006)


According to our database1, Hiroshi Kawaguchi authored at least 219 papers between 1998 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
A Case Study for Improving Performances of Deep-Learning Processor with MRAM.
IPSJ Trans. Syst. LSI Des. Methodol., 2024

Wearable Perspiration Characteristic Sensor Using Bi-Directional Driver Circuit.
Proceedings of the IEEE International Conference on Consumer Electronics, 2024

An Ultrasound-Based Surveillance System for Bathroom Posture and Location Estimation.
Proceedings of the IEEE International Conference on Consumer Electronics, 2024

15-ch Wearable Body Surface Potential Sensor for Wireless Electrocardiogram Monitoring.
Proceedings of the IEEE International Conference on Consumer Electronics, 2024

2023
Self-Supervised Learning with Atom Replacement for Catalyst Energy Prediction by Graph Neural Networks.
Proceedings of the International Neural Network Society Workshop on Deep Learning Innovations and Applications, 2023

Semilayer-Wise Partial Quantization Without Accuracy Degradation or Back Propagation.
Proceedings of the Artificial Neural Networks and Machine Learning - ICANN 2023, 2023

Quantitative Evaluation Method of Timed Up and Go Test for Hospitalized Patients Using Inertial Sensors.
Proceedings of the 19th IEEE International Conference on Body Sensor Networks, 2023

A 1W8R 20T SRAM Codebook for 20% Energy Reduction in Mixed-Precision Deep-Learning Inference Processor System.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

2022
Machine Learning-Based Severity Classification of Spinal Cord Injury Patients Using Straight Leg Raising Test.
Proceedings of the 2022 IEEE Sensors, Dallas, TX, USA, October 30 - Nov. 2, 2022, 2022

Wearable Perspiration Volume Sensor Using Dual-Frequency Impedance Measurement.
Proceedings of the 2022 IEEE Sensors, Dallas, TX, USA, October 30 - Nov. 2, 2022, 2022

Non-contact Atrial Fibrillation Detection using a 24-GHz Microwave Doppler Radar.
Proceedings of the 2022 IEEE Sensors, Dallas, TX, USA, October 30 - Nov. 2, 2022, 2022

Automatic Pruning Rate Derivation for Structured Pruning of Deep Neural Networks.
Proceedings of the 26th International Conference on Pattern Recognition, 2022

Channel-wise quantization without accuracy degradation using Δloss analysis.
Proceedings of the ICMLT 2022: 7th International Conference on Machine Learning Technologies, Rome, Italy, March 11, 2022

20-µs Accuracy Time-Synchronization Method using Bluetooth Low Energy for Internet-of-Things Sensors.
Proceedings of the 19th IEEE Annual Consumer Communications & Networking Conference, 2022

2021
Subcentimeter Precision Ranging System for Moving Targets With a Doppler-Effect- Compensated Ultrasonic Direct Sequence Spread Spectrum.
IEEE Trans. Instrum. Meas., 2021

A High-Speed Neural Architecture Search Considering the Number of Weights.
Proceedings of the KI 2021: Advances in Artificial Intelligence - 44th German Conference on AI, Virtual Event, September 27, 2021

12.5-m Distance Measurement in High-Interference Environment Using Ultrasonic Array Sensors.
Proceedings of the IEEE International Instrumentation and Measurement Technology Conference, 2021

Greedy Search Algorithm for Mixed Precision in Post-Training Quantization of Convolutional Neural Network Inspired by Submodular Optimization.
Proceedings of the Asian Conference on Machine Learning, 2021

2020
A 1.15-TOPS 6.57-TOPS/W Neural Network Processor for Multi-Scale Object Detection With Reduced Convolutional Operations.
IEEE J. Sel. Top. Signal Process., 2020

Heartbeat Interval Error Compensation Method for Low Sampling Rates Photoplethysmography Sensors.
IEICE Trans. Commun., 2020

Doppler shift compensation technique for ultrasonic DSSS ranging system.
Proceedings of the 2020 IEEE International Instrumentation and Measurement Technology Conference, 2020

An Architectural Study for Inference Coprocessor Core at the Edge in IoT Sensing.
Proceedings of the 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2020

A 1.15-TOPS 6.57-TOPS/W DNN Processor for Multi-Scale Object Detection.
Proceedings of the 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2020

2019
Multimodal Cardiovascular Information Monitor Using Piezoelectric Transducers for Wearable Healthcare.
J. Signal Process. Syst., 2019

Energy-Efficient Spectral Analysis Method Using Autoregressive Model-Based Approach for Internet of Things.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A 28-nm FD-SOI 8T Dual-Port SRAM for Low-Energy Image Processor With Selective Sourceline Drive Scheme.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Low-Noise Photoplethysmography Sensor Using Correlated Double Sampling for Heartbeat Interval Acquisition.
IEEE Trans. Biomed. Circuits Syst., 2019

Non-Contact Instantaneous Heart Rate Extraction System Using 24-GHz Microwave Doppler Sensor.
IEICE Trans. Commun., 2019

A Low-Power Photoplethysmography Sensor using Correlated Double Sampling and Reference Readout Circuit.
Proceedings of the 2019 IEEE SENSORS, Montreal, QC, Canada, October 27-30, 2019, 2019

A Heartbeat Interval Error Compensation Method Using Multiple Linear Regression for Photoplethysmography Sensors.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

An IoT Sensor Node SoC with Dynamic Power Scheduling for Sustainable Operation in Energy Harvesting Environment.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

2018
A 11.3-µA Physical Activity Monitoring System Using Acceleration and Heart Rate.
IEICE Trans. Electron., 2018

A low power, VLSI object recognition processor using Sparse FIND feature for 60 fps HDTV resolution video [IEICE Electronics Express Vol. 14(2017) No. 15 pp. 20170668].
IEICE Electron. Express, 2018

Adaptive Learning Rate Adjustment with Short-Term Pre-Training in Data-Parallel Deep Learning.
Proceedings of the 2018 IEEE International Workshop on Signal Processing Systems, 2018

Hardware Implementation of Autoregressive Model Estimation Using Burg's Method for Low-Energy Spectral Analysis.
Proceedings of the 2018 IEEE International Workshop on Signal Processing Systems, 2018

Sampling Rate Reduction for Wearable Heart Rate Variability Monitoring.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

28-nm FD-SOI Dual-Port SRAM with MSB-Based Inversion Logic for Low-Power Deep Learning.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

Layer Skip Learning using LARS variables for 39% Faster Conversion Time and Lower Bandwidth.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

Delayed Weight Update for Faster Convergence in Data-Parallel Deep Learning.
Proceedings of the 2018 IEEE Global Conference on Signal and Information Processing, 2018

2017
A low power, VLSI object recognition processor using Sparse FIND feature for 60 fps HDTV resolution video.
IEICE Electron. Express, 2017

FPGA implementation of object recognition processor for HDTV resolution video using sparse FIND feature.
Proceedings of the 2017 IEEE International Workshop on Signal Processing Systems, 2017

A layer-block-wise pipeline for memory and bandwidth reduction in distributed deep learning.
Proceedings of the 27th IEEE International Workshop on Machine Learning for Signal Processing, 2017

A swallowable sensing device platform with wireless power feeding and chemical reaction actuator.
Proceedings of the 2017 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), 2017

Non-contact biometric identification and authentication using microwave Doppler sensor.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

Capacitively coupled ECG sensor system with digitally assisted noise cancellation for wearable application.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

Wearable pulse wave velocity sensor using flexible piezoelectric film array.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

A 19-μA metabolic equivalents monitoring SoC using adaptive sampling.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
A Counter-based Read Circuit Tolerant to Process Variation for 0.4-V Operating STT-MRAM.
IPSJ Trans. Syst. LSI Des. Methodol., 2016

A 28-nm 484-fJ/writecycle 650-fJ/readcycle 8T Three-Port FD-SOI SRAM for Image Processor.
IEICE Trans. Electron., 2016

Error Propagation Analysis for Single Event Upset considering Masking Effects on Re-Convergent Path.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2016

An soft error propagation analysis considering logical masking effect on re-convergent path.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016

A 15-μA metabolic equivalents monitoring system using adaptive acceleration sampling and normally off computing.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

An low-energy 8T dual-port SRAM for image processor with selective sourceline drive scheme in 28-nm FD-SOI process technology.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

Low-power metabolic equivalents estimation algorithm using adaptive acceleration sampling.
Proceedings of the 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2016

Swallowable sensing device for long-term gastrointestinal tract monitoring.
Proceedings of the 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2016

Adaptive noise cancellation method for capacitively coupled ECG sensor using single insulated electrode.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

Non-contact Instantaneous Heart Rate Monitoring Using Microwave Doppler Sensor and Time-Frequency Domain Analysis.
Proceedings of the 16th IEEE International Conference on Bioinformatics and Bioengineering, 2016

Capacitively coupled ECG sensor using a single electrode with adaptive power-line noise cancellation.
Proceedings of the 2016 IEEE-EMBS International Conference on Biomedical and Health Informatics, 2016

2015
Normally Off ECG SoC With Non-Volatile MCU and Noise Tolerant Heartbeat Detector.
IEEE Trans. Biomed. Circuits Syst., 2015

A Wearable Healthcare System With a 13.7 µ A Noise Tolerant ECG Processor.
IEEE Trans. Biomed. Circuits Syst., 2015

Low-Jitter Design for Second-Order Time-to-Digital Converter Using Frequency Shift Oscillators.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

An I/O-Sized ADC with Second-Order TDC and MOM Capacitor Voltage-to-Time Converter.
IEICE Trans. Electron., 2015

A Fast Settling All Digital PLL Using Temperature Compensated Oscillator Tuning Word Estimation Algorithm.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

A Low-Latency DMR Architecture with Fast Checkpoint Recovery Scheme.
IEICE Trans. Electron., 2015

Noise Tolerant Heart Rate Extraction Algorithm Using Short-Term Autocorrelation for Wearable Healthcare Systems.
IEICE Trans. Inf. Syst., 2015

A ferroelectric-based non-volatile flip-flop for wearable healthcare systems.
Proceedings of the 15th Non-Volatile Memory Technology Symposium, 2015

A low power 6T-4C non-volatile memory using charge sharing and non-precharge techniques.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

An accurate soft error propagation analysis technique considering temporal masking disablement.
Proceedings of the 21st IEEE International On-Line Testing Symposium, 2015

Physical activity group classification algorithm using triaxial acceleration and heart rate.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

Non-contact and noise tolerant heart rate monitoring using microwave doppler sensor and range imagery.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

Large displacement haptic stimulus actuator using piezoelectric pump for wearable devices.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

A 298-fJ/writecycle 650-fJ/readcycle 8T three-port SRAM in 28-nm FD-SOI process technology for image processor.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

Analysis of Soft Error Propagation Considering Masking Effects on Re-Convergent Path.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

A negative-resistance sense amplifier for low-voltage operating STT-MRAM.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

A 14µA ECG processor with noise tolerant heart rate extractor and FeRAM for wearable healthcare systems.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
A Real-time Scalable Object Detection System using Low-power HOG Accelerator VLSI.
J. Signal Process. Syst., 2014

Soft-Error Resilient and Margin-Enhanced N-P Reversed 6T SRAM Bitcell.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

STT-MRAM Operating at 0.38V Using Negative-Resistance Sense Amplifier.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

A 40-nm Resilient Cache Memory for Dynamic Variation Tolerance Delivering ×91 Failure Rate Improvement under 35% Supply Voltage Fluctuation.
IEICE Trans. Electron., 2014

A 54-mw 3×-real-time 60-kword continuous speech recognition processor VLSI.
IEICE Electron. Express, 2014

A 40-nm resilient cache memory for dynamic variation tolerance with bit-enhancing memory and on-chip diagnosis structures delivering ×91 failure rate improvement.
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014

A 6T-4C shadow memory using plate line and word line boosting.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

A 2.23 ps RMS jitter 3 μs fast settling ADPLL using temperature compensation PLL controller.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

An 8-bit I/O-sized ADC with second-order TDC and MOM capacitor voltage-to-time converter.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

Noise tolerant QRS detection using template matching with short-term autocorrelation.
Proceedings of the 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2014

A 6.14µA normally-off ECG-SoC with noise tolerant heart rate extractor for wearable healthcare systems.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

A 2.4 pJ ferroelectric-based non-volatile flip-flop with 10-year data retention capability.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2014

Normally-off technologies for healthcare appliance.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

A Low-Latency DMR Architecture with Efficient Recovering Scheme Exploiting Simultaneously Copiable SRAM.
Proceedings of the ARCS 2014, 2014

2013
An FPGA Implementation of a HOG-based Object Detection Processor.
IPSJ Trans. Syst. LSI Des. Methodol., 2013

Multiple-Cell-Upset Tolerant 6T SRAM Using NMOS-Centered Cell Layout.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

A Sub-100 mW Dual-Core HOG Accelerator VLSI for Parallel Feature Extraction Processing for HDTV Resolution Video.
IEICE Trans. Electron., 2013

A Second-Order All-Digital TDC with Low-Jitter Frequency Shift Oscillators and Dynamic Flipflops.
IEICE Trans. Electron., 2013

An Opampless Second-Order MASH ΔΣ ADC with Using Gated Ring Oscillator Time-to-Digital Converter.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

Reconfiguring Cache Associativity: Adaptive Cache Design for Wide-Range Reliable Low-Voltage Operation Using 7T/14T SRAM.
IEICE Trans. Electron., 2013

A 168-mW 2.4X-Real-Time 60-kWord Continuous Speech Recognition Processor VLSI.
IEICE Trans. Electron., 2013

A 40-NM 54-MW 3×-real-time VLSI processor for 60-kWord continuous speech recognition.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2013

A 38 μA wearable biosignal monitoring system with near field communication.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

Temperature compensation using least mean squares for fast settling all-digital phase-locked loop.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

Energy-efficient Spin-Transfer Torque RAM cache exploiting additional all-zero-data flags.
Proceedings of the International Symposium on Quality Electronic Design, 2013

A sub-100-milliwatt dual-core HOG accelerator VLSI for real-time multiple object detection.
Proceedings of the IEEE International Conference on Acoustics, 2013

A 14 µA ECG processor with robust heart rate monitor for a wearable healthcare system.
Proceedings of the ESSCIRC 2013, 2013

Noise-tolerant instantaneous heart rate and R-peak detection using short-term autocorrelation for wearable healthcare systems.
Proceedings of the 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2013

A 40-nm 8T SRAM with selective source line control of read bitlines and address preset structure.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

Low-power hardware implementation of noise tolerant heart rate extractor for a wearable monitoring system.
Proceedings of the 13th IEEE International Conference on BioInformatics and BioEngineering, 2013

A 40-nm 0.5-V 12.9-pJ/Access 8T SRAM using low-power disturb mitigation technique.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

A physical unclonable function chip exploiting load transistors' variation in SRAM bitcells.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
A 40 nm 144 mW VLSI Processor for Real-Time 60-kWord Continuous Speech Recognition.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

0.5-V 4-MB Variation-Aware Cache Architecture Using 7T/14T SRAM and Its Testing Scheme.
IPSJ Trans. Syst. LSI Des. Methodol., 2012

A 40-nm 0.5-V 12.9-pJ/Access 8T SRAM Using Low-Energy Disturb Mitigation Scheme.
IEICE Trans. Electron., 2012

Bit-Error and Soft-Error Resilient 7T/14T SRAM with 150-nm FD-SOI Process.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2012

Multiple-Bit-Upset and Single-Bit-Upset Resilient 8T SRAM Bitcell Layout with Divided Wordline Structure.
IEICE Trans. Electron., 2012

A 128-bit Chip Identification Generating Scheme Exploiting Load Transistors' Variation in SRAM Bitcells.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2012

A 0.15-µm FD-SOI Substrate Bias Control SRAM with Inter-Die Variability Compensation Scheme.
IEICE Trans. Electron., 2012

A Process-Variation-Adaptive Network-on-Chip with Variable-Cycle Routers and Variable-Cycle Pipeline Adaptive Routing.
IEICE Trans. Electron., 2012

Divided Static Random Access Memory for Data Aggregation in Wireless Sensor Nodes.
IEICE Trans. Commun., 2012

A 40-nm 256-Kb Half-Select Resilient 8T SRAM with Sequential Writing Technique.
IEICE Electron. Express, 2012

Low-energy block-level instantaneous comparison 7T SRAM for dual modular redundancy.
IEICE Electron. Express, 2012

A 61-dB SNDR 700 µm<sup>2</sup> second-order all-digital TDC with low-jitter frequency shift oscillators and dynamic flipflops.
Proceedings of the Symposium on VLSI Circuits, 2012

Architectural Study of HOG Feature Extraction Processor for Real-Time Object Detection.
Proceedings of the 2012 IEEE Workshop on Signal Processing Systems, 2012

A 62-dB SNDR second-order gated ring oscillator TDC with two-stage dynamic D-type flipflops as a quantization noise propagator.
Proceedings of the 10th IEEE International NEWCAS Conference, 2012

A 40-nm 256-Kb 0.6-V operation half-select resilient 8T SRAM with sequential writing technique enabling 367-mV VDDmin reduction.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

Bit error rate estimation in SRAM considering temperature fluctuation.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

A 40-nm 256-Kb Sub-10 pJ/Access 8t SRAM with read bitline amplitude limiting (RBAL) scheme.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

A 51-dB SNDR DCO-based TDC using two-stage second-order noise shaping.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Neutron-induced soft error rate estimation for SRAM using PHITS.
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012

Handsfree Voice Interface for Home Network Service Using a Microphone Array Network.
Proceedings of the Third International Conference on Networking and Computing, 2012

Instantaneous Heart Rate detection using short-time autocorrelation for wearable healthcare systems.
Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2012

A 40-nm 168-mW 2.4×-real-time VLSI processor for 60-kWord continuous speech recognition.
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012

Processor Coupling Architecture for Aggressive Voltage Scaling on Multicores.
Proceedings of the ARCS 2012 Workshops, 28. Februar - 2. März 2012, München, Germany, 2012

Implementing Virtual Agent as an Interface for Smart Home Voice Control.
Proceedings of the 19th Asia-Pacific Software Engineering Conference, 2012

2011
Data-Intensive Sound Acquisition System with Large-scale Microphone Array.
J. Inf. Process., 2011

Design Choice in 45-nm Dual-Port SRAM - 8T, 10T Single End, and 10T Differential.
IPSJ Trans. Syst. LSI Des. Methodol., 2011

7T SRAM Enabling Low-Energy Instantaneous Block Copy and Its Application to Transactional Memory.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2011

VLSI Architecture of GMM Processing and Viterbi Decoder for 60, 000-Word Real-Time Continuous Speech Recognition.
IEICE Trans. Electron., 2011

A Low-Power Real-Time SIFT Descriptor Generation Engine for Full-HDTV Video Recognition.
IEICE Trans. Electron., 2011

A Low-Power Multi-Phase Oscillator with Transfer Gate Phase Coupler Enabling Even-Numbered Phase Output.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2011

A Low-Power Multi Resolution Spectrum Sensing Architecture for a Wireless Sensor Network with Cognitive Radio.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2011

Block-basis on-line BIST architecture for embedded SRAM using wordline and bitcell voltage optimal control.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

0.45-V operating Vt-variation tolerant 9T/18T dual-port SRAM.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

A 40-nm 640-µm<sup>2</sup> 45-dB opampless all-digital second-order MASH ΔΣ ADC.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Multiple-bit-upset and single-bit-upset resilient 8T SRAM bitcell layout with divided wordline structure.
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011

256-KB associativity-reconfigurable cache with 7T/14T SRAM for aggressive DVS down to 0.57 V.
Proceedings of the 18th IEEE International Conference on Electronics, Circuits and Systems, 2011

Data Aggregation Protocol for Multiple Sound Sources Acquisition with Microphone Array Network.
Proceedings of 20th International Conference on Computer Communications and Networks, 2011

A 128-bit chip identification generating scheme exploiting SRAM bitcells with failure rate of 4.45 × 10<sup>-19</sup>.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

Model-based fault injection for failure effect analysis - Evaluation of dependable SRAM for vehicle control units.
Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN-W 2011), 2011

A Process-Variation-Adaptive Network-on-Chip with Variable-Cycle Routers.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

Low-power block-level instantaneous comparison 7T SRAM for dual modular redundancy.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

A 40 nm 144 mW VLSI processor for realtime 60 kWord continuous speech recognition.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

2010
A 58-µW Single-Chip Sensor Node Processor with Communication Centric Design.
IEICE Trans. Electron., 2010

A power-variation model for sensor node and the impact against life time of wireless sensor networks.
IEICE Electron. Express, 2010

0.5-V operation variation-aware word-enhancing cache architecture using 7T/14T hybrid SRAM.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Parallel-processing VLSI architecture for mixed integer linear programming.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Intelligent ubiquitous sensor network for sound acquisition.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Live demonstration: Intelligent ubiquitous sensor network for sound acquisition.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Fast and Low-Memory-Bandwidth Architecture of SIFT Descriptor Generation with Scalability on Speed and Accuracy for VGA Video.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

7T SRAM enabling low-energy simultaneous block copy.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2010

A 34.7-mW quad-core MIQP solver processor for robot control.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2010

2009
A 433-MHz Rail-to-Rail Voltage Amplifier with Carrier Sensing Function for Wireless Sensor Networks.
IEICE Trans. Electron., 2009

A Dependable SRAM with 7T/14T Memory Cells.
IEICE Trans. Electron., 2009

A 7T/14T Dependable SRAM and its Array Structure to Avoid Half Selection.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009

An ultra-low-power VAD hardware implementation for intelligent ubiquitous sensor networks.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2009

A 0.56-V 128kb 10T SRAM using column line assist (CLA) scheme.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

Parallelized viterbi processor for 5, 000-word large-vocabulary real-time continuous speech recognition FPGA system.
Proceedings of the INTERSPEECH 2009, 2009

Low-power control techniques for silicon and organic circuits with array structures.
Proceedings of the IEEE International Conference on Control Applications, 2009

2008
Novel Video Memory Reduces 45% of Bitline Power Using Majority Logic and Data-Bit Reordering.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Cross-Layer Design for Low-Power Wireless Sensor Node Using Wave Clock.
IEICE Trans. Commun., 2008

A 10T Non-precharge Two-Port SRAM Reducing Readout Power for Video Processing.
IEICE Trans. Electron., 2008

A Sub 100 mW H.264 MP@L4.1 Integer-Pel Motion Estimation Processor Core for MBAFF Encoding with Reconfigurable Ring-Connected Systolic Array and Segmentation-Free, Rectangle-Access Search-Window Buffer.
IEICE Trans. Electron., 2008

A VGA 30-fps Realtime Optical-Flow Processor Core for Moving Picture Recognition.
IEICE Trans. Electron., 2008

Counter-Based Broadcasting with Hop Count Aware Random Assessment Delay Extension for Wireless Sensor Networks.
IEICE Trans. Commun., 2008

Quality of a Bit (QoB): A New Concept in Dependable SRAM.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

A sub 100 mW H.264/AVC MP@L4.1 integer-pel motion estimation processor VLSI for MBAFF encoding.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

An H.264/AVC MP@L4.1 quarter-pel motion estimation processor VLSI for real-time MBAFF encoding.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

A low memory bandwidth Gaussian mixture model (GMM) processor for 20, 000-word real-time speech recognition FPGA system.
Proceedings of the 2008 International Conference on Field-Programmable Technology, 2008

2007
An Organic FET SRAM With Back Gate to Increase Static Noise Margin and Its Application to Braille Sheet Display.
IEEE J. Solid State Circuits, 2007

Stacked-Chip Implementation of On-Chip Buck Converter for Distributed Power Supply System in SiPs.
IEEE J. Solid State Circuits, 2007

A Self-Alignment Row-by-Row Variable-<i>V<sub>DD</sub></i> Scheme Reducing 90% of Active-Leakage Power in SRAM's.
IEICE Trans. Electron., 2007

Area Optimization in 6T and 8T SRAM Cells Considering <i>V</i><sub>th</sub> Variation in Future Processes.
IEICE Trans. Electron., 2007

Area Comparison between 6T and 8T SRAM Cells in Dual-<i>V</i><sub>dd</sub> Scheme and DVS Scheme.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2007

Data Transmission Scheduling Based on RTS/CTS Exchange for Periodic Data Gathering Sensor Networks.
IEICE Trans. Commun., 2007

Closed-Form Expressions for Crosstalk Noise and Worst-Case Delay on Capacitively Coupled Distributed RC Lines.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2007

Multipath Routing using Isochronous Medium Access Control with Multi Wakeup Period for Wireless Sensor Networks.
Proceedings of the 4th IEEE International Symposium on Wireless Communication Systems, 2007

A 10T Non-Precharge Two-Port SRAM for 74% Power Reduction in Video Processing.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007

Design Solutions for a Multi-Object Wireless Power Transmission Sheet Based on Plastic Switches.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

Half VDD Clock-Swing Flip-Flop with Reduced Contention for up to 60% Power Saving in Clock Distribution.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007

Power and Memory Bandwidth Reduction of an H.264/AVC HDTV Decoder LSI with Elastic Pipeline Architecture.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
Leakage-suppressed clock-gating circuit with Zigzag Super Cut-off CMOS (ZSCCMOS) for leakage-dominant sub-70-nm and sub-1-V-V<sub>DD</sub> LSIs.
IEEE Trans. Very Large Scale Integr. Syst., 2006

$V_rm DD$-Hopping Accelerators for On-Chip Power Supply Circuit to Achieve Nanosecond-Order Transient Time.
IEEE J. Solid State Circuits, 2006

Managing subthreshold leakage in charge-based analog circuits with low-V<sub>TH</sub> transistors by analog T- switch (AT-switch) and super cut-off CMOS (SCCMOS).
IEEE J. Solid State Circuits, 2006

Low-Power Low-Leakage FPGA Design Using Zigzag Power Gating, Dual-V<sub>TH</sub>/V<sub>DD</sub> and Micro-V<sub>DD</sub>-Hopping.
IEICE Trans. Electron., 2006

A 0.3-V Operating, <i>V</i><sub>th</sub>-Variation-Tolerant SRAM under DVS Environment for Memory-Rich SoC in 90-nm Technology Era and Beyond.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

A Sub-mW H.264 Baseline-Profile Motion Estimation Processor Core with a VLSI-Oriented Block Partitioning Strategy and SIMD/Systolic-Array Architecture.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

A Power- and Area-Efficient SRAM Core Architecture with Segmentation-Free and Horizontal/Vertical Accessibility for Super-Parallel Video Processing.
IEICE Trans. Electron., 2006

Aggregation Efficiency-Aware Greedy Incremental Tree Routing for Wireless Sensor Networks.
IEICE Trans. Commun., 2006

A 50% Power Reduction in H.264/AVC HDTV Video Decoder LSI by Dynamic Voltage Scaling in Elastic Pipeline.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

Trends of On-Chip Interconnects in Deep Sub-Micron VLSI.
IEICE Trans. Electron., 2006

Simple Waveform Model of Inductive Interconnects by Delayed Quadratic Transfer Function with Application to Scaling Trend of Inductive Effects in VLSI's.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

A Power- and Area-Efficient SRAM Core Architecture for Super-Parallel Video Processing.
Proceedings of the IFIP VLSI-SoC 2006, 2006

An Organic FET SRAM for Braille Sheet Display with Back Gate to Increase Static Noise Margin.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

A two-port SRAM for real-time video processor saving 53% of bitline power with majority logic and data-bit reordering.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

Impact of Aggregation Efficiency on GIT Routing forWireless Sensor Networks.
Proceedings of the 2006 International Conference on Parallel Processing Workshops (ICPP Workshops 2006), 2006

Chip-to-Chip Inductive Wireless Power Transmission System for SiP Applications.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006

2005
μITRON-LP: power-conscious real-time OS based on cooperative voltage scaling for multimedia applications.
IEEE Trans. Multim., 2005

Frequency-voltage cooperative CPU power control: A design rule and its application by feedback prediction.
Syst. Comput. Jpn., 2005

Cut-and-paste customization of organic FET integrated circuit and its application to electronic artificial skin.
IEEE J. Solid State Circuits, 2005

Row-by-Row Dynamic Source-Line Voltage Control (RRDSV) Scheme for Two Orders of Magnitude Leakage Current Reduction of Sub-1-V-<i>V<sub>DD</sub></i> SRAM's.
IEICE Trans. Electron., 2005

More than two orders of magnitude leakage current reduction in look-up table for FPGAs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Subthreshold-leakage suppressed switched capacitor circuit based on super cut-off CMOS (SCCMOS).
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

2004
Observation of one-fifth-of-a-clock wake-up time of power-gated circuit.
Proceedings of the IEEE 2004 Custom Integrated Circuits Conference, 2004

2002
V<sub>TH</sub>-hopping scheme to reduce subthreshold leakage for low-power processors.
IEEE J. Solid State Circuits, 2002

2001
Design impact of positive temperature dependence on drain current in sub-1-V CMOS VLSIs.
IEEE J. Solid State Circuits, 2001

An LSI for VDD-hopping and MPEG4 system based on the chip.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

Cooperative voltage scaling (CVS) between OS and applications for low-power real-time systems.
Proceedings of the IEEE 2001 Custom Integrated Circuits Conference, 2001

V<sub>TH</sub>-hopping scheme for 82% power saving in low-voltage processors.
Proceedings of the IEEE 2001 Custom Integrated Circuits Conference, 2001

2000
A super cut-off CMOS (SCCMOS) scheme for 0.5-V supply voltage with picoampere stand-by current.
IEEE J. Solid State Circuits, 2000

Boosted gate MOS (BGMOS): device/circuit cooperation scheme to achieve leakage-free giga-scale integration.
Proceedings of the IEEE 2000 Custom Integrated Circuits Conference, 2000

1998
A reduced clock-swing flip-flop (RCSFF) for 63% power reduction.
IEEE J. Solid State Circuits, 1998

Delay and Noise Formulas for Capacitively Coupled Distributed RC Lines.
Proceedings of the ASP-DAC '98, 1998


  Loading...