José Luis Ayala

Orcid: 0000-0001-7236-5330

According to our database1, José Luis Ayala authored at least 102 papers between 2003 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Intelligence-Based Recommendation System for Critical Stroke Management in Intensive Care Units.
Proceedings of the 16th International Joint Conference on Biomedical Engineering Systems and Technologies, 2023

2022
Cluster-Then-Classify Methodology for the Identification of Pain Episodes in Chronic Diseases.
IEEE J. Biomed. Health Informatics, 2022

GA-MADRID: design and validation of a machine learning tool for the diagnosis of Alzheimer's disease and frontotemporal dementia using genetic algorithms.
Medical Biol. Eng. Comput., 2022

Efficient micro data centres deployment for mobile healthcare monitoring systems in IoT urban scenarios.
J. Simulation, 2022

Energy-aware task scheduling in data centers using an application signature.
Comput. Electr. Eng., 2022

Timeseries biomarkers clustering for Alzheimer's Disease progression.
Proceedings of the IEEE International Conference on Omni-layer Intelligent Systems, 2022

Reproducible and accurate subject-wise sleep posture detection by detecting and removing turns.
Proceedings of the IEEE International Conference on Omni-layer Intelligent Systems, 2022

2021
Predictive and diagnosis models of stroke from hemodynamic signal monitoring.
Medical Biol. Eng. Comput., 2021

Fast energy estimation framework for long-running applications.
Future Gener. Comput. Syst., 2021

2019
Toward Ultra-Low-Power Remote Health Monitoring: An Optimal and Adaptive Compressed Sensing Framework for Activity Recognition.
IEEE Trans. Mob. Comput., 2019

An application of machine learning with feature selection to improve diagnosis and classification of neurodegenerative disorders.
BMC Bioinform., 2019

SMURF: Systematic Methodology for Unveiling Relevant Factors in Retrospective Data on Chronic Disease Treatments.
IEEE Access, 2019

Comparison of Different Machine Learning Approaches to Model Stroke Subtype Classification and Risk Prediction.
Proceedings of the 2019 Spring Simulation Conference, 2019

A study on the parallelization of moeas to predict the patient's response to the onabotulinumtoxina treatment.
Proceedings of the 2019 Summer Simulation Conference, 2019

Predictive GPU-based ADAS Management in Energy-Conscious Smart Cities.
Proceedings of the 2019 IEEE International Smart Cities Conference, 2019

2018
Heuristics and metaheuristics for dynamic management of computing and cooling energy in cloud data centers.
Softw. Pract. Exp., 2018

Power transmission and workload balancing policies in eHealth mobile cloud computing scenarios.
Future Gener. Comput. Syst., 2018

Modeling and simulation of wind energy production in the smart-grid scenario.
Proceedings of the Symposium on Modeling and Simulation of Complexity in Intelligent, 2018

Advanced migraine prediction hardware system.
Proceedings of the 50th Computer Simulation Conference, 2018

Fast Energy Estimation Through Partial Execution of HPC Applications.
Proceedings of the 29th IEEE International Conference on Application-specific Systems, 2018

2017
Green Adaptation of Real-Time Web Services for Industrial CPS Within a Cloud Environment.
IEEE Trans. Ind. Informatics, 2017

Support System to Improve Reading Activity in Parkinson's Disease and Essential Tremor Patients.
Sensors, 2017

Special issue on energy efficient multi-core and many-core systems, Part II.
J. Parallel Distributed Comput., 2017

CEDA Currents.
IEEE Des. Test, 2017

IEEE Rebooting Computing Week.
IEEE Des. Test, 2017

Code Ocean Is Live: Upload Your Algorithms.
IEEE Des. Test, 2017

Dynamic Voltage and Frequency Scaling-aware dynamic consolidation of virtual machines for energy efficient cloud data centers.
Concurr. Comput. Pract. Exp., 2017

SFIDE: a simulation infrastructure for data centers.
Proceedings of the Summer Simulation Multi-Conference, 2017

Advanced migraine prediction simulation system.
Proceedings of the Summer Simulation Multi-Conference, 2017

Thermal Management in 3D Homogeneous NoC Systems Using Optimized Placement of Liquid Microchannels.
Proceedings of the 11th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2017

An optimal approach for low-power migraine prediction models in the state-of-the-art wireless monitoring devices.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
Special issue on energy efficient multi-core and many-core systems, Part I.
J. Parallel Distributed Comput., 2016

Modeling methodology for the accurate and prompt prediction of symptomatic events in chronic diseases.
J. Biomed. Informatics, 2016

Runtime data center temperature prediction using Grammatical Evolution techniques.
Appl. Soft Comput., 2016

Real-time hardware/software co-design using devs-based transparent M&S framework.
Proceedings of the Summer Computer Simulation Conference, 2016

A thermal driven genetic algorithm for three dimensional network-on-chip systems.
Proceedings of the Summer Computer Simulation Conference, 2016

Grammatical Evolutionary Techniques for Prompt Migraine Prediction.
Proceedings of the 2016 on Genetic and Evolutionary Computation Conference, Denver, CO, USA, July 20, 2016

Unsupervised power modeling of co-allocated workloads for energy efficiency in data centers.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
Leakage-Aware Cooling Management for Improving Server Energy Efficiency.
IEEE Trans. Parallel Distributed Syst., 2015

Proactive and Reactive Transmission Power Control for Energy-Efficient On-Body Communications.
Sensors, 2015

Robust and Accurate Modeling Approaches for Migraine Per-Patient Prediction from Ambulatory Data.
Sensors, 2015

Comparative study of meta-heuristic 3D floorplanning algorithms.
Neurocomputing, 2015

Enhancing Regression Models for Complex Systems Using Evolutionary Techniques for Feature Engineering.
J. Grid Comput., 2015

Thermal-aware floorplanner for 3D IC, including TSVs, liquid microchannels and thermal domains optimization.
Appl. Soft Comput., 2015

Dynamic workload and cooling management in high-efficiency data centers.
Proceedings of the Sixth International Green and Sustainable Computing Conference, 2015

Power-awareness and smart-resource management in embedded computing systems.
Proceedings of the 2015 International Conference on Hardware/Software Codesign and System Synthesis, 2015

DVFS-Aware Consolidation for Energy-Efficient Clouds.
Proceedings of the 2015 International Conference on Parallel Architectures and Compilation, 2015

2014
VLSI for the new era.
Integr., 2014

A novel energy-driven computing paradigm for e-health scenarios.
Future Gener. Comput. Syst., 2014

A Link Quality Estimator for Power-Efficient Communication Over On-Body Channels.
Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, 2014

2013
Design Space Exploration of Distributed Loop Buffer Architectures with Incompatible Loop-Nest Organisations in Embedded Systems.
J. Signal Process. Syst., 2013

Survey of Low-Energy Techniques for Instruction Memory Organisations in Embedded Systems.
J. Signal Process. Syst., 2013

Accurate Human Tissue Characterization for Energy-Efficient Wireless On-Body Communications.
Sensors, 2013

3D thermal-aware floorplanner using a MOEA approximation.
Integr., 2013

Boosting the 3D thermal-aware floorplanning problem through a master-worker parallel MOEA.
Concurr. Comput. Pract. Exp., 2013

Energy impact in the design space exploration of loop buffer schemes in embedded systems.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

Leakage and temperature aware server control for improving energy efficiency in data centers.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
Ubiquitous Green Computing Techniques for High Demand Applications in Smart Environments.
Sensors, 2012

Power Impact of Loop Buffer Schemes for Biomedical Wireless Sensor Nodes.
Sensors, 2012

3D thermal-aware floorplanner using a MILP approximation.
Microprocess. Microsystems, 2012

Power profiling-guided floorplanner for 3D multi-processor systems-on-chip.
IET Circuits Devices Syst., 2012

GreenDisc: A HW/SW Energy Optimization Framework in Globally Distributed Computation.
Proceedings of the Ubiquitous Computing and Ambient Intelligence, 2012

Channel Analysis and Dynamic Adaptation for Energy-Efficient WBSNs.
Proceedings of the Ubiquitous Computing and Ambient Intelligence, 2012

Fast and scalable temperature-driven floorplan design in 3D MPSoCs.
Proceedings of the 13th Latin American Test Workshop, 2012

IMOSIM: Exploration tool for Instruction Memory Organisations based on accurate cycle-level energy modelling.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

Leveraging Heterogeneity for Energy Minimization in Data Centers.
Proceedings of the 12th IEEE/ACM International Symposium on Cluster, 2012

2011
Run-time self-tuning banked loop buffer architecture for power optimization of dynamic workload applications.
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011

Power Profiling-Guided Floorplanner for Thermal Optimization in 3D Multiprocessor Architectures.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

3D Thermal-aware floorplanner for many-core single-chip systems.
Proceedings of the 12th Latin American Test Workshop, 2011

A combination of evolutionary algorithm and mathematical programming for the 3d thermal-aware floorplanning problem.
Proceedings of the 13th Annual Genetic and Evolutionary Computation Conference, 2011

2010
Thermal modeling and analysis of 3D multi-processor chips.
Integr., 2010

Thermal-Aware Compilation for Register Window-Based Embedded Processors.
IEEE Embed. Syst. Lett., 2010

Thermal analysis and modeling of embedded processors.
Comput. Electr. Eng., 2010

Combined Dynamic-Static Approach for Thermal-Awareness in Heterogeneous Data Centers.
Proceedings of the International Workshop on Innovative Architecture for Future Generation High Performance Processors and Systems, 2010

Energy Efficiency Using Loop Buffer based Instruction Memory Organizations.
Proceedings of the International Workshop on Innovative Architecture for Future Generation High Performance Processors and Systems, 2010

Adaptive Task Migration Policies for Thermal Control in MPSoCs.
Proceedings of the VLSI 2010 Annual Symposium - Selected papers, 2010

Thermal-aware compilation for system-on-chip processing architectures.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

Thermal-aware floorplanning exploration for 3D multi-core architectures.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

2009
Thermal Modeling and Management of Liquid-Cooled 3D Stacked Architectures.
Proceedings of the VLSI-SoC: Technologies for Systems Integration, 2009

Through Silicon Via-Based Grid for Thermal Control in 3D Chips.
Proceedings of the Nano-Net - 4th International ICST Conference, 2009

Dynamic thermal management in 3D multicore architectures.
Proceedings of the Design, Automation and Test in Europe, 2009

Thermal-aware data flow analysis.
Proceedings of the 46th Design Automation Conference, 2009

SoC Communication Architectures: From Interconnection Buses to Packet-Switched NoCs.
Proceedings of the Embedded Systems Design and Verification, 2009

2008
Power Considerations in Banked CAMs: A Leakage Reduction Approach.
VLSI Design, 2008

Joint hardware-software leakage minimization approach for the register file of VLIW embedded architectures.
Integr., 2008

A hardware mechanism to reduce the energy consumption of the register file of in-order architectures.
Int. J. Embed. Syst., 2008

Reliability-aware design for nanometer-scale devices.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Energy-aware compilation and hardware design for VLIW embedded systems.
Int. J. Embed. Syst., 2007

Reduction of Register File Delay Due to Process Variability in VLIW Embedded Processors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Leakage-based On-Chip Thermal Sensor for CMOS Technology.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Thermal Characterization and Thermal Management in Processor-Based Systems.
Proceedings of the Power-aware Computing Systems, 21.01. - 26.01.2007, 2007

2006
Target Independent Thermal Modeling for Embedded Processors.
Proceedings of the International Symposium on Industrial Embedded Systems, 2006

Compiler-Driven Leakage Energy Reduction in Banked Register Files.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2006

Leakage Energy Reduction in Banked Content Addressable Memories.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

Analysis of the Thermal Impact of Source-Code Transformations in Embedded-Processors.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

2005
State-of-the-Art SoC Communication Architectures.
Proceedings of the Embedded Systems Handbook., 2005

Power estimation and power optimization policies for processor-based systems.
PhD thesis, 2005

Integrating functional and power simulation in embedded systems design.
J. Embed. Comput., 2005

Compiler-Driven Power Optimizations in the Register File of Processor-Based Systems.
Proceedings of the Power-aware Computing Systems, 3.-8. April 2005, 2005

2003
Power-Aware Compilation for Register File Energy Reduction.
Int. J. Parallel Program., 2003

A Unified Framework for Power-Aware Design of Embedded Systems.
Proceedings of the Integrated Circuit and System Design, 2003

Energy Aware Register File Implementation through Instruction Predecode.
Proceedings of the 14th IEEE International Conference on Application-Specific Systems, 2003


  Loading...