% csauthors.net - beta - BibTeX bibliography of Julien Ryckaert
@inproceedings{conf/cicc/GyselinckxHRYFL05,
title = {Human++: autonomous wireless sensors for body area networks.},
year = {2005},
booktitle = {CICC},
author = {{Bert Gyselinckx} and {Chris Van Hoof} and {Julien Ryckaert} and {Refet Firat Yazicioglu} and {Paolo Fiorini} and {Vladimir Leonov}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, CICC 2005, DoubleTree Hotel, San Jose, California, USA, September 18-21, 2005}
}
@inproceedings{conf/icc/FortDRDBD05,
title = {Ultra wide-band body area channel model.},
year = {2005},
booktitle = {ICC},
author = {{Andrew Fort} and {Claude Desset} and {Julien Ryckaert} and {Philippe De Doncker} and {Leo Van Biesen} and {Stéphane Donnay}},
publisher = {IEEE},
booktitle = {Proceedings of IEEE International Conference on Communications, ICC 2005, Seoul, Korea, 16-20 May 2005}
}
@article{journals/tcas/RyckaertDFBHWPD05,
title = {Ultra-wide-band transmitter for low-power wireless body area networks: design and evaluation.},
year = {2005},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Julien Ryckaert} and {Claude Desset} and {Andrew Fort} and {Mustafa Badaroglu} and {Vincent De Heyn} and {Piet Wambacq} and {Geert Van der Plas} and {Stéphane Donnay} and {Bart van Poucke} and {Bert Gyselinckx}}
}
@inproceedings{conf/isscc/RyckaertBHPNBDD06,
title = {A 16mA UWB 3-to-5GHz 20Mpulses/s Quadrature Analog Correlation Receiver in 0.18µm CMOS.},
year = {2006},
booktitle = {ISSCC},
author = {{Julien Ryckaert} and {Mustafa Badaroglu} and {Vincent De Heyn} and {Geert Van der Plas} and {Pierluigi Nuzzo} and {Andrea Baschirotto} and {Stefano D'Amico} and {Claude Desset} and {Hans Suys} and {Michael Libois} and {Bart van Poucke} and {Piet Wambacq} and {Bert Gyselinckx}},
publisher = {IEEE},
booktitle = {2006 IEEE International Solid State Circuits Conference, ISSCC 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}
}
@inproceedings{conf/vlsi/GyselinckxVHRYFL06,
title = {Human++: Emerging Technology for Body Area Networks.},
year = {2006},
booktitle = {VLSI-SoC},
author = {{Bert Gyselinckx} and {Ruud J. M. Vullers} and {Chris Van Hoof} and {Julien Ryckaert} and {Refet Firat Yazicioglu} and {Paolo Fiorini} and {Vladimir Leonov}},
publisher = {IEEE},
booktitle = {IFIP VLSI-SoC 2006, IFIP WG 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Nice, France, 16-18 October 2006}
}
@inproceedings{conf/vlsi/PendersGVRBNHRYFL06,
title = {Human++: Emerging Technology for Body Area Networks.},
year = {2006},
booktitle = {VLSI-SoC (Selected Papers)},
author = {{Julien Penders} and {Bert Gyselinckx} and {Ruud J. M. Vullers} and {Olivier Rousseaux} and {Mladen Berekovic} and {Michael De Nil} and {Chris Van Hoof} and {Julien Ryckaert} and {Refet Firat Yazicioglu} and {Paolo Fiorini} and {Vladimir Leonov}},
publisher = {Springer},
booktitle = {VLSI-SoC: Research Trends in VLSI and Systems on Chip - Fourteenth International Conference on Very Large Scale Integration of System on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France}
}
@article{journals/ejwcn/BadarogluDRHPWP06,
title = {Analog-Digital Partitioning for Low-Power UWB Impulse Radios under CMOS Scaling.},
year = {2006},
journal = {EURASIP J. Wirel. Commun. Netw.},
author = {{Mustafa Badaroglu} and {Claude Desset} and {Julien Ryckaert} and {Vincent De Heyn} and {Geert Van der Plas} and {Piet Wambacq} and {Bart van Poucke}}
}
@article{journals/jsac/FortRDDWB06,
title = {Ultra-wideband channel model for communication around the human body.},
year = {2006},
journal = {IEEE J. Sel. Areas Commun.},
author = {{Andrew Fort} and {Julien Ryckaert} and {Claude Desset} and {Philippe De Doncker} and {Piet Wambacq} and {Leo Van Biesen}}
}
@inproceedings{conf/esscirc/HeynPRC07,
title = {A fast start-up 3GHz-10GHz digitally controlled oscillator for UWB impulse radio in 90nm CMOS.},
year = {2007},
booktitle = {ESSCIRC},
author = {{Vincent De Heyn} and {Geert Van der Plas} and {Julien Ryckaert} and {Jan Craninckx}},
publisher = {IEEE},
booktitle = {33rd European Solid-State Circuits Conference, ESSCIRC 2007, Munich, Germany, 11-13 September 2007}
}
@inproceedings{conf/isscc/RyckaertPHDVPC07,
title = {A 0.65-to-1.4nJ/burst 3-to-10GHz UWB Digital TX in 90nm CMOS for IEEE 802.15.4a.},
year = {2007},
booktitle = {ISSCC},
author = {{Julien Ryckaert} and {Geert Van der Plas} and {Vincent De Heyn} and {Claude Desset} and {Geert Vanwijnsberghe} and {Bart van Poucke} and {Jan Craninckx}},
publisher = {IEEE},
booktitle = {2007 IEEE International Solid-State Circuits Conference, ISSCC 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}
}
@inproceedings{conf/vtc/DessetBRP07,
title = {Optimized Signal Acquisition for Low-Complexity and Low-Power IR-UWB Transceivers.},
year = {2007},
booktitle = {VTC Spring},
author = {{Claude Desset} and {Mustafa Badaroglu} and {Julien Ryckaert} and {Bart van Poucke}},
publisher = {IEEE},
booktitle = {Proceedings of the 65th IEEE Vehicular Technology Conference, VTC Spring 2007, 22-25 April 2007, Dublin, Ireland}
}
@article{journals/jssc/RyckaertPHDPC07,
title = {A 0.65-to-1.4 nJ/Burst 3-to-10 GHz UWB All-Digital TX in 90 nm CMOS for IEEE 802.15.4a.},
year = {2007},
journal = {IEEE J. Solid State Circuits},
author = {{Julien Ryckaert} and {Geert Van der Plas} and {Vincent De Heyn} and {Claude Desset} and {Bart van Poucke} and {Jan Craninckx}}
}
@article{journals/jssc/RyckaertVBDHDNP07,
title = {A CMOS Ultra-Wideband Receiver for Low Data-Rate Communication.},
year = {2007},
journal = {IEEE J. Solid State Circuits},
author = {{Julien Ryckaert} and {Marian Verhelst} and {Mustafa Badaroglu} and {Stefano D'Amico} and {Vincent De Heyn} and {Claude Desset} and {Pierluigi Nuzzo} and {Bart van Poucke} and {Piet Wambacq} and {Andrea Baschirotto} and {Wim Dehaene} and {Geert Van der Plas}}
}
@inproceedings{conf/esscirc/BorremansRWKC08,
title = {A low-complexity, low phase noise, low-voltage phase-aligned ring oscillator in 90 nm digital CMOS.},
year = {2008},
booktitle = {ESSCIRC},
author = {{Jonathan Borremans} and {Julien Ryckaert} and {Piet Wambacq} and {Maarten Kuijk} and {Jan Craninckx}},
publisher = {IEEE},
booktitle = {ESSCIRC 2008 - 34th European Solid-State Circuits Conference, Edinburgh, Scotland, UK, 15-19 September 2008.}
}
@inproceedings{conf/icc/VerhelstRVD08,
title = {A Low Power, Reconfigurable IR-UWB System.},
year = {2008},
booktitle = {ICC},
author = {{Marian Verhelst} and {Julien Ryckaert} and {Yves Vanderperren} and {Wim Dehaene}},
publisher = {IEEE},
booktitle = {Proceedings of IEEE International Conference on Communications, ICC 2008, Beijing, China, 19-23 May 2008}
}
@inproceedings{conf/isscc/BosVRRRP09,
title = {A multirate 3.4-to-6.8mW 85-to-66dB DR GSM/bluetooth/UMTS cascade DT ΔΣM in 90nm digital CMOS.},
year = {2009},
booktitle = {ISSCC},
author = {{Lynn Bos} and {Gerd Vandersteen} and {Julien Ryckaert} and {Pieter Rombouts} and {Yves Rolain} and {Geert Van der Plas}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}
}
@inproceedings{conf/isscc/GianniniNSVSRGDDCI09,
title = {A 2mm2 0.1-to-5GHz SDR receiver in 45nm digital CMOS.},
year = {2009},
booktitle = {ISSCC},
author = {{Vito Giannini} and {Pierluigi Nuzzo} and {Charlotte Soens} and {Kameswaran Vengattaramane} and {Michiel Steyaert} and {Julien Ryckaert} and {Michaël Goffioul} and {Björn Debaillie} and {Joris Van Driessche} and {Jan Craninckx} and {Mark Ingels}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}
}
@article{journals/jssc/BorremansRDKWC09,
title = {A Low-Complexity, Low-Phase-Noise, Low-Voltage Phase-Aligned Ring Oscillator in 90 nm Digital CMOS.},
year = {2009},
journal = {IEEE J. Solid State Circuits},
author = {{Jonathan Borremans} and {Julien Ryckaert} and {Claude Desset} and {Maarten Kuijk} and {Piet Wambacq} and {Jan Craninckx}}
}
@article{journals/jssc/GianniniNSVRGDB09,
title = {A 2-mm2 0.1-5 GHz Software-Defined Radio Receiver in 45-nm Digital CMOS.},
year = {2009},
journal = {IEEE J. Solid State Circuits},
author = {{Vito Giannini} and {Pierluigi Nuzzo} and {Charlotte Soens} and {Kameswaran Vengattaramane} and {Julien Ryckaert} and {Michaël Goffioul} and {Björn Debaillie} and {Jonathan Borremans} and {Joris Van Driessche} and {Jan Craninckx} and {Mark Ingels}}
}
@article{journals/jssc/RyckaertBVBACP09,
title = {A 2.4 GHz Low-Power Sixth-Order RF Bandpass ΔΣ Converter in CMOS.},
year = {2009},
journal = {IEEE J. Solid State Circuits},
author = {{Julien Ryckaert} and {Jonathan Borremans} and {Bob Verbruggen} and {Lynn Bos} and {Costantino Armiento} and {Jan Craninckx} and {Geert Van der Plas}}
}
@inproceedings{conf/date/GeisNRRVC10,
title = {An 11.6-19.3mW 0.375-13.6GHz CMOS frequency synthesizer with rail-to-rail operation.},
year = {2010},
booktitle = {DATE},
author = {{Arnd Geis} and {Pierluigi Nuzzo} and {Julien Ryckaert} and {Yves Rolain} and {Gerd Vandersteen} and {Jan Craninckx}},
publisher = {IEEE Computer Society},
booktitle = {Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010}
}
@inproceedings{conf/esscirc/MorgadoRRBRP10,
title = {A 100kHz-10MHz BW, 78-to-52dB DR, 4.6-to-11mW flexible SC ΣΔ modulator in 1.2-V 90-nm CMOS.},
year = {2010},
booktitle = {ESSCIRC},
author = {{Alonso Morgado} and {Rocío del Río} and {José M. de la Rosa 001} and {Lynn Bos} and {Julien Ryckaert} and {Geert Van der Plas}},
publisher = {IEEE},
booktitle = {36th European Solid-State Circuits Conference, ESSCIRC 2010, Sevilla, Spain, September 13-17, 2010}
}
@article{journals/jssc/BosVRGMRPR10,
title = {Multirate Cascaded Discrete-Time Low-Pass ΔΣ Modulator for GSM/Bluetooth/UMTS.},
year = {2010},
journal = {IEEE J. Solid State Circuits},
author = {{Lynn Bos} and {Gerd Vandersteen} and {Pieter Rombouts} and {Arnd Geis} and {Alonso Morgado} and {Yves Rolain} and {Geert Van der Plas} and {Julien Ryckaert}}
}
@article{journals/jssc/GeisRBVRC10,
title = {A 0.5 mm 2 Power-Scalable 0.5-3.8-GHz CMOS DT-SDR Receiver With Second-Order RF Band-Pass Sampler.},
year = {2010},
journal = {IEEE J. Solid State Circuits},
author = {{Arnd Geis} and {Julien Ryckaert} and {Lynn Bos} and {Gerd Vandersteen} and {Yves Rolain} and {Jan Craninckx}}
}
@inproceedings{conf/cicc/MilojevicORMP11,
title = {DRAM-on-logic Stack - Calibrated thermal and mechanical models integrated into PathFinding flow.},
year = {2011},
booktitle = {CICC},
author = {{Dragomir Milojevic} and {Herman Oprins} and {Julien Ryckaert} and {Paul Marchal} and {Geert Van der Plas}},
publisher = {IEEE},
booktitle = {2011 IEEE Custom Integrated Circuits Conference, CICC 2011, San Jose, CA, USA, Sept. 19-21, 2011}
}
@inproceedings{conf/cicc/KimKRDHM12,
title = {A calibrated pathfinding model for signal integrity analysis on interposer.},
year = {2012},
booktitle = {CICC},
author = {{Jaemin Kim} and {Sunyoung Kim} and {Julien Ryckaert} and {Mikael Detalle} and {Nele Van Hoovels} and {Pol Marchal}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, CICC 2012, San Jose, CA, USA, September 9-12, 2012}
}
@inproceedings{conf/cicc/RakowskiRPYBMSAC12,
title = {Low-Power, 10-Gbps 1.5-Vpp differential CMOS driver for a silicon electro-optic ring modulator.},
year = {2012},
booktitle = {CICC},
author = {{Michal Rakowski} and {Julien Ryckaert} and {Marianna Pantouvaki} and {Hui Yu} and {Wim Bogaerts} and {Kristin De Meyer} and {Michiel Steyaert} and {Philippe P. Absil} and {Joris Van Campenhout}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, CICC 2012, San Jose, CA, USA, September 9-12, 2012}
}
@article{journals/jssc/MartensBCFWPCR12,
title = {RF-to-Baseband Digitization in 40 nm CMOS With RF Bandpass ΔΣ Modulator and Polyphase Decimation Filter.},
year = {2012},
journal = {IEEE J. Solid State Circuits},
author = {{Ewout Martens} and {André Bourdoux} and {Aïssa Couvreur} and {Robert Fasthuber} and {Peter Van Wesemael} and {Geert Van der Plas} and {Jan Craninckx} and {Julien Ryckaert}}
}
@inproceedings{conf/dac/MallikZLCBBBCRBMV13,
title = {TEASE: a systematic analysis framework for early evaluation of FinFET-based advanced technology nodes.},
year = {2013},
booktitle = {DAC},
author = {{Arindam Mallik} and {Paul Zuber} and {Tsung-Te Liu} and {Bharani Chava} and {Bhavana Ballal} and {Pablo Royer Del Bario} and {Rogier Baert} and {Kris Croes} and {Julien Ryckaert} and {Mustafa Badaroglu} and {Abdelkarim Mercha} and {Diederik Verkest}},
publisher = {ACM},
booktitle = {The 50th Annual Design Automation Conference 2013, DAC '13, Austin, TX, USA, May 29 - June 07, 2013}
}
@inproceedings{conf/ofc/RakowskiPYBMSSO13,
title = {Low-power, low-penalty, flip-chip integrated, 10Gb/s ring-based 1V CMOS photonics transmitter.},
year = {2013},
booktitle = {OFC/NFOEC},
author = {{Michal Rakowski} and {Marianna Pantouvaki} and {Hui Yu} and {Wim Bogaerts} and {Kristin De Meyer} and {Michiel Steyaert} and {Bradley Snyder} and {Peter O'Brien} and {Julien Ryckaert} and {Philippe Absil} and {Joris Van Campenhout}},
publisher = {IEEE},
booktitle = {2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), Anaheim, CA, USA, March 17-21, 2013}
}
@inproceedings{conf/cicc/RyckaertRBBDMSVWCCDJLLMPSWMBHMTTCVS14,
title = {Design Technology co-optimization for N10.},
year = {2014},
booktitle = {CICC},
author = {{Julien Ryckaert} and {Praveen Raghavan} and {Rogier Baert} and {Marie Garcia Bardon} and {Mircea Dusa} and {Arindam Mallik} and {Sushil Sakhare} and {Boris Vandewalle} and {Piet Wambacq} and {Bharani Chava} and {Kris Croes} and {Morin Dehan} and {Doyoung Jang} and {Philippe Leray 002} and {Tsung-Te Liu} and {Kenichi Miyaguchi} and {Bertrand Parvais} and {Pieter Schuddinck} and {Philippe Weemaes} and {Abdelkarim Mercha} and {Jürgen Bömmels} and {Naoto Horiguchi} and {Greg McIntyre} and {Aaron Thean} and {Zsolt Tökei} and {Shaunee Cheng} and {Diederik Verkest} and {An Steegen}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, CICC 2014, San Jose, CA, USA, September 15-17, 2014}
}
@inproceedings{conf/dsd/RethinagiriPSKBYHCUFFRA14,
title = {ParaDIME: Parallel Distributed Infrastructure for Minimization of Energy.},
year = {2014},
booktitle = {DSD},
author = {{Santhosh Kumar Rethinagiri} and {Oscar Palomar} and {Anita Sobe} and {Thomas Knauth} and {Wojciech M. Barczynski} and {Gulay Yalcin} and {Yarco Hayduk} and {Adrián Cristal} and {Osman S. Unsal} and {Pascal Felber} and {Christof Fetzer} and {Julien Ryckaert} and {Gina Alioto}},
publisher = {IEEE Computer Society},
booktitle = {17th Euromicro Conference on Digital System Design, DSD 2014, Verona, Italy, August 27-29, 2014}
}
@inproceedings{conf/essderc/BaoYRCBVBCRDRMTVTW14,
title = {Circuit and process co-design with vertical gate-all-around nanowire FET technology to extend CMOS scaling for 5nm and beyond technologies.},
year = {2014},
booktitle = {ESSDERC},
author = {{Trong Huynh Bao} and {Dmitry Yakimets} and {Julien Ryckaert} and {Ivan Ciofi} and {Rogier Baert} and {Anabela Veloso} and {Jürgen Bömmels} and {Nadine Collaert} and {Philippe Roussel} and {S. Demuynck} and {Praveen Raghavan} and {Abdelkarim Mercha} and {Zsolt Tokei} and {Diederik Verkest} and {Aaron Thean} and {Piet Wambacq}},
publisher = {IEEE},
booktitle = {44th European Solid State Device Research Conference, ESSDERC 2014, Venice Lido, Italy, September 22-26, 2014}
}
@inproceedings{conf/cicc/RaghavanBJSYRMH15,
title = {Holisitic device exploration for 7nm node.},
year = {2015},
booktitle = {CICC},
author = {{Praveen Raghavan} and {Marie Garcia Bardon} and {Doyoung Jang} and {P. Schuddinck} and {Dmitry Yakimets} and {Julien Ryckaert} and {Abdelkarim Mercha} and {Naoto Horiguchi} and {Nadine Collaert} and {Anda Mocuta} and {Dan Mocuta} and {Zsolt Tokei} and {Diederik Verkest} and {Aaron Thean} and {An Steegen}},
publisher = {IEEE},
booktitle = {2015 IEEE Custom Integrated Circuits Conference, CICC 2015, San Jose, CA, USA, September 28-30, 2015}
}
@inproceedings{conf/date/KarageorgosSRRT15,
title = {Impact of interconnect multiple-patterning variability on SRAMs.},
year = {2015},
booktitle = {DATE},
author = {{Ioannis Karageorgos} and {Michele Stucchi} and {Praveen Raghavan} and {Julien Ryckaert} and {Zsolt Tokei} and {Diederik Verkest} and {Rogier Baert} and {Sushil Sakhare} and {Wim Dehaene}},
publisher = {ACM},
booktitle = {Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015}
}
@inproceedings{conf/icicdt/BaoSRYMVTW15,
title = {Design technology co-optimization for enabling 5nm gate-all-around nanowire 6T SRAM.},
year = {2015},
booktitle = {ICICDT},
author = {{Trong Huynh Bao} and {Sushil Sakhare} and {Julien Ryckaert} and {Dmitry Yakimets} and {Abdelkarim Mercha} and {Diederik Verkest} and {Aaron Voon-Yew Thean} and {Piet Wambacq}},
publisher = {IEEE},
booktitle = {2015 International Conference on IC Design & Technology, ICICDT 2015, Leuven, Belgium, June 1-3, 2015}
}
@inproceedings{conf/ispd/Ryckaert16,
title = {Scaling Beyond 7nm: Design-Technology Co-optimization at the Rescue.},
year = {2016},
booktitle = {ISPD},
author = {{Julien Ryckaert}},
publisher = {ACM},
booktitle = {Proceedings of the 2016 on International Symposium on Physical Design, ISPD 2016, Santa Rosa, CA, USA, April 3-6, 2016}
}
@inproceedings{conf/icicdt/Huynh-BaoSRSVM17,
title = {SRAM designs for 5nm node and beyond: Opportunities and challenges.},
year = {2017},
booktitle = {ICICDT},
author = {{Trong Huynh Bao} and {Sushil Sakhare} and {Julien Ryckaert} and {Alessio Spessot} and {Diederik Verkest} and {Anda Mocuta}},
publisher = {IEEE},
booktitle = {2017 IEEE International Conference on IC Design and Technology, ICICDT 2017, Austin, TX, USA, May 23-25, 2017}
}
@article{journals/tvlsi/BaoRTMVTW17,
title = {Statistical Timing Analysis Considering Device and Interconnect Variability for BEOL Requirements in the 5-nm Node and Beyond.},
year = {2017},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Trong Huynh Bao} and {Julien Ryckaert} and {Zsolt Tokei} and {Abdelkarim Mercha} and {Diederik Verkest} and {Aaron Voon-Yew Thean} and {Piet Wambacq}}
}
@inproceedings{conf/dac/BaoVSMRPCYSFKM19,
title = {Process, Circuit and System Co-optimization of Wafer Level Co-Integrated FinFET with Vertical Nanosheet Selector for STT-MRAM Applications.},
year = {2019},
booktitle = {DAC},
author = {{Trong Huynh Bao} and {Anabela Veloso} and {Sushil Sakhare} and {Philippe Matagne} and {Julien Ryckaert} and {Manu Perumkunnil} and {Davide Crotti} and {Farrukh Yasin} and {Alessio Spessot} and {Arnaud Furnémont} and {Gouri Sankar Kar} and {Anda Mocuta}},
publisher = {ACM},
booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, DAC 2019, Las Vegas, NV, USA, June 02-06, 2019}
}
@inproceedings{conf/bcicts/SpessotPRMWJR20,
title = {Device Scaling roadmap and its implications for Logic and Analog platform.},
year = {2020},
booktitle = {BCICTS},
author = {{Alessio Spessot} and {Bertrand Parvais} and {Amita Rawat} and {Kenichi Miyaguchi} and {Pieter Weckx} and {Doyoung Jang} and {Julien Ryckaert}},
publisher = {IEEE},
booktitle = {IEEE BiCMOS and Compound Semiconductor Integrated Circuits and Technology Symposium, BCICTS 2020, Monterey, CA, USA, November 16-19, 2020}
}
@inproceedings{conf/vlsi/GiacominBRCG20,
title = {Layout Considerations of Logic Designs Using an N-layer 3D Nanofabric Process Flow.},
year = {2020},
booktitle = {VLSI-SOC},
author = {{Edouard Giacomin} and {Jürgen Bömmels} and {Julien Ryckaert} and {Francky Catthoor} and {Pierre-Emmanuel Gaillardon}},
publisher = {IEEE},
booktitle = {28th IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SOC 2020, Salt Lake City, UT, USA, October 5-7, 2020}
}
@inproceedings{conf/vlsi/GiacominBRCG20a,
title = {3D Nanofabric: Layout Challenges and Solutions for Ultra-scaled Logic Designs.},
year = {2020},
booktitle = {VLSI-SoC (Selected Papers)},
author = {{Edouard Giacomin} and {Jürgen Bömmels} and {Julien Ryckaert} and {Francky Catthoor} and {Pierre-Emmanuel Gaillardon}},
publisher = {Springer},
booktitle = {VLSI-SoC: Design Trends - 28th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2020, Salt Lake City, UT, USA, October 6-9, 2020, Revised and Extended Selected Papers}
}
@article{journals/cal/ZhuBACMKRGL20,
title = {Heterogeneous 3D Integration for a RISC-V System With STT-MRAM.},
year = {2020},
journal = {IEEE Comput. Archit. Lett.},
author = {{Lingjun Zhu} and {Lennart Bamberg} and {Anthony Agnesina} and {Francky Catthoor} and {Dragomir Milojevic} and {Manu Komalan} and {Julien Ryckaert} and {Alberto García-Ortiz} and {Sung Kyu Lim}}
}
@inproceedings{conf/essderc/RawatBMVWHRL21,
title = {Performance Trade-Off Scenarios for GAA Nanosheet FETs Considering Inner-spacers and Epi-induced Stress: Understanding & Mitigating Process Risks.},
year = {2021},
booktitle = {ESSDERC},
author = {{Amita Rawat} and {Krishna K. Bhuwalka} and {Philippe Matagne} and {Bjorn Vermeersch} and {Hao Wu} and {Geert Hellings} and {Julien Ryckaert} and {Changze Liu}},
publisher = {IEEE},
booktitle = {51st IEEE European Solid-State Device Research Conference, ESSDERC 2021, Grenoble, France, September 13-22, 2021}
}
@inproceedings{conf/date/ZografosCSMKXWR22,
title = {Design enablement of CFET devices for sub-2nm CMOS nodes.},
year = {2022},
booktitle = {DATE},
author = {{Odysseas Zografos} and {Bilal Chehab} and {Pieter Schuddinck} and {Gioele Mirabelli} and {Naveen Kakarla} and {Yang Xiang} and {Pieter Weckx} and {Julien Ryckaert}},
publisher = {IEEE},
booktitle = {2022 Design, Automation & Test in Europe Conference & Exhibition, DATE 2022, Antwerp, Belgium, March 14-23, 2022}
}
@inproceedings{conf/vlsit/ChenSSJMSWLKVMZ22,
title = {Backside PDN and 2.5D MIMCAP to Double Boost 2D and 3D ICs IR-Drop beyond 2nm Node.},
year = {2022},
booktitle = {VLSI Technology and Circuits},
author = {{Rongmei Chen} and {Giuliano Sisto} and {Michele Stucchi} and {Anne Jourdain} and {Kenichi Miyaguchi} and {Pieter Schuddinck} and {P. Woeltgens} and {H. Lin} and {Naveen Kakarla} and {Anabela Veloso} and {Dragomir Milojevic} and {Odysseas Zografos} and {Pieter Weckx} and {Geert Hellings} and {Geert Van der Plas} and {Julien Ryckaert} and {Eric Beyne}},
publisher = {IEEE},
booktitle = {IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}
}
@inproceedings{conf/vlsit/SchuddinckBXFMV22,
title = {PPAC of sheet-based CFET configurations for 4 track design with 16nm metal pitch.},
year = {2022},
booktitle = {VLSI Technology and Circuits},
author = {{Pieter Schuddinck} and {Fabian M. Bufler} and {Yang Xiang} and {Anita Farokhnejad} and {Gioele Mirabelli} and {Anne Vandooren} and {Bilal Chehab} and {A. Gupta} and {César Roda Neve} and {Geert Hellings} and {Julien Ryckaert}},
publisher = {IEEE},
booktitle = {IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}
}
@inproceedings{conf/vlsit/SerbulovaCHVJLB22,
title = {Enabling Active Backside Technology for ESD and LU Reliability in DTCO/STCO.},
year = {2022},
booktitle = {VLSI Technology and Circuits},
author = {{Kateryna Serbulova} and {S.-H. Chen} and {Geert Hellings} and {Anabela Veloso} and {Anne Jourdain} and {Dimitri Linten} and {J. De Boeck} and {Guido Groeseneken} and {Julien Ryckaert} and {Geert Van der Plas} and {Eric Beyne} and {Eugenio Dentoni Litta} and {Naoto Horiguchi}},
publisher = {IEEE},
booktitle = {IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}
}
@inproceedings{conf/vlsit/VelosoJRCAOASKH22,
title = {Scaled FinFETs Connected by Using Both Wafer Sides for Routing via Buried Power Rails.},
year = {2022},
booktitle = {VLSI Technology and Circuits},
author = {{Anabela Veloso} and {Anne Jourdain} and {D. Radisic} and {Rongmei Chen} and {G. Arutchelvan} and {B. O'Sullivan} and {Hiroaki Arimura} and {Michele Stucchi} and {An De Keersgieter} and {M. Hosseini} and {T. Hopf} and {K. D'Have} and {S. Wang} and {E. Dupuy} and {G. Mannaert} and {Kevin Vandersmissen} and {S. Iacovo} and {P. Marien} and {S. Choudhury} and {F. Schleicher} and {F. Sebaai} and {Y. Oniki} and {X. Zhou} and {A. Gupta} and {Tom Schram} and {B. Briggs} and {C. Lorant} and {E. Rosseel} and {Andriy Hikavyy} and {Roger Loo} and {J. Geypen} and {D. Batuk} and {G. T. Martinez} and {J. P. Soulie} and {Katia Devriendt} and {B. T. Chan} and {S. Demuynck} and {Gaspard Hiblot} and {Geert Van der Plas} and {Julien Ryckaert} and {Gerald Beyer} and {E. Dentoni Litta} and {Eric Beyne} and {Naoto Horiguchi}},
publisher = {IEEE},
booktitle = {IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}
}
@inproceedings{conf/vlsit/VermeerschBXSBH22,
title = {Self-Heating in iN8-iN2 CMOS Logic Cells: Thermal Impact of Architecture (FinFET, Nanosheet, Forksheet and CFET) and Scaling Boosters.},
year = {2022},
booktitle = {VLSI Technology and Circuits},
author = {{Bjorn Vermeersch} and {Erik Bury} and {Yang Xiang} and {Pieter Schuddinck} and {Krishna K. Bhuwalka} and {Geert Hellings} and {Julien Ryckaert}},
publisher = {IEEE},
booktitle = {IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}
}
@article{journals/tvlsi/SistoZCKXMWHR22,
title = {Evaluation of Nanosheet and Forksheet Width Modulation for Digital IC Design in the Sub-3-nm Era.},
year = {2022},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Giuliano Sisto} and {Odysseas Zografos} and {Bilal Chehab} and {Naveen Kakarla} and {Yang Xiang} and {Dragomir Milojevic} and {Pieter Weckx} and {Geert Hellings} and {Julien Ryckaert}}
}
@inproceedings{conf/icecsys/EscuinGZIMVLMRBC23,
title = {MNEMOSENE++: Scalable Multi-Tile Design with Enhanced Buffering and VGSOT-MRAM based Compute-in-Memory Crossbar Array.},
year = {2023},
booktitle = {ICECS},
author = {{Carlos Escuin} and {Fernando García-Redondo} and {Mahdi Zahedi} and {Pablo Ibáñez} and {Teresa Monreal} and {Víctor Viñals} and {José María Llabería} and {James Myers} and {Julien Ryckaert} and {Dwaipayan Biswas} and {Francky Catthoor}},
publisher = {IEEE},
booktitle = {30th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2023, Istanbul, Turkey, December 4-7, 2023}
}
@inproceedings{conf/irps/MishraVVBLAOBZHPWHMCR23,
title = {Towards Chip-Package-System Co-optimization of Thermally-limited System-On-Chips (SOCs).},
year = {2023},
booktitle = {IRPS},
author = {{Subrat Mishra} and {Sankatali Venkateswarlu} and {Bjorn Vermeersch} and {Moritz Brunion} and {Melina Lofrano} and {Dawit Burusie Abdi} and {Herman Oprins} and {Dwaipayan Biswas} and {Odysseas Zografos} and {Gaspard Hiblot} and {Geert Van der Plas} and {Pieter Weckx} and {Geert Hellings} and {James Myers} and {Francky Catthoor} and {Julien Ryckaert}},
publisher = {IEEE},
booktitle = {IEEE International Reliability Physics Symposium, IRPS 2023, Monterey, CA, USA, March 26-30, 2023}
}
@inproceedings{conf/iscas/0004PBCKFR23,
title = {Design Technology co-optimization of 1D-1VCMA to improve read performance for SCM applications.},
year = {2023},
booktitle = {ISCAS},
author = {{Mohit Kumar Gupta 001} and {Manu Perumkunnil} and {Dwaipayan Biswas} and {Saeideh Alinezhad Chamazcoti} and {Gouri Sankar Kar} and {Arnaud Furnémont} and {Julien Ryckaert}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2023, Monterey, CA, USA, May 21-25, 2023}
}
@inproceedings{conf/iscas/0004WKR23,
title = {Impact of interconnects enhancement on SRAM design beyond 5nm technology node.},
year = {2023},
booktitle = {ISCAS},
author = {{Mohit Kumar Gupta 001} and {Pieter Weckx} and {Manu Perumkunnil Komalan} and {Julien Ryckaert}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2023, Monterey, CA, USA, May 21-25, 2023}
}
@inproceedings{conf/vlsit/SistoPCMFZCJVSZ23,
title = {Block-level Evaluation and Optimization of Backside PDN for High-Performance Computing at the A14 node.},
year = {2023},
booktitle = {VLSI Technology and Circuits},
author = {{Giuliano Sisto} and {R. Preston} and {Rongmei Chen} and {Gioele Mirabelli} and {Anita Farokhnejad} and {Y. Zhou} and {Ivan Ciofi} and {Anne Jourdain} and {A. Veloso} and {Michele Stucchi} and {Odysseas Zografos} and {Pieter Weckx} and {Geert Hellings} and {Julien Ryckaert}},
publisher = {IEEE},
booktitle = {2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, June 11-16, 2023}
}
@inproceedings{conf/vlsit/YangSBXVCMHHR23,
title = {PPA and Scaling Potential of Backside Power Options in N2 and A14 Nanosheet Technology.},
year = {2023},
booktitle = {VLSI Technology and Circuits},
author = {{S. Yang} and {Pieter Schuddinck} and {Marie Garcia Bardon} and {Yang Xiang} and {Anabela Veloso} and {B. T. Chan} and {Gioele Mirabelli} and {Gaspard Hiblot} and {Geert Hellings} and {Julien Ryckaert}},
publisher = {IEEE},
booktitle = {2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, June 11-16, 2023}
}
@article{journals/tcasI/AbdiSBGWRHC23,
title = {3D SRAM Macro Design in 3D Nanofabric Process Technology.},
year = {2023},
month = {July},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Dawit Burusie Abdi} and {Shairfe Muhammad Salahuddin} and {Jürgen Bömmels} and {Edouard Giacomin} and {Pieter Weckx} and {Julien Ryckaert} and {Geert Hellings} and {Francky Catthoor}}
}