Jun Tao

Orcid: 0000-0001-8742-687X

Affiliations:
  • Fudan University, State Key Laboratory of ASIC and System, School of Microelectronics, Shanghai, China
  • Carnegie Mellon University, Pittsburgh, PA, USA (former)


According to our database1, Jun Tao authored at least 56 papers between 2003 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Yield Optimization for Analog Circuits over Multiple Corners via Bayesian Neural Networks: Enhancing Circuit Reliability under Environmental Variation.
ACM Trans. Design Autom. Electr. Syst., January, 2024

2023
Self-Supervised On-Device Federated Learning From Unlabeled Streams.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023

Efficient Statistical Parameter Extraction for Modeling MOSFET Mismatch.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2023

Correlated Bayesian Model Fusion: Efficient High-Dimensional Performance Modeling of Analog/RF Integrated Circuits Over Multiple Corners.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2023

SPARK: An automatic Score-Power-Area efficient RISC-V processor microarchitecture SeeKer.
Microelectron. J., February, 2023

Analysis and Design of a Dual-Mode VCO With Inherent Mode Compensation Enabling a 7.9-14.3-GHz 85-fs-rms Jitter PLL.
IEEE J. Solid State Circuits, 2023

TPNoC: An Efficient Topology Reconfigurable NoC Generator.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

2022
Adaptable Approximate Multiplier Design Based on Input Distribution and Polarity.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Correlated Rare Failure Analysis via Asymptotic Probability Evaluation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Fast Statistical Analysis of Rare Failure Events With Truncated Normal Distribution in High-Dimensional Variation Space.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Unsupervised deep domain adaptation framework in remote acoustic time parametric imaging.
IET Signal Process., 2022

NNASIM: An Efficient Event-Driven Simulator for DNN Accelerators with Accurate Timing and Area Models.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

An Automated Compiler for RISC-V Based DNN Accelerator.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

2021
MC-LSTM: Real-Time 3D Human Action Detection System for Intelligent Healthcare Applications.
IEEE Trans. Biomed. Circuits Syst., 2021

Bayesian Inference on Introduced General Region: An Efficient Parametric Yield Estimation Method for Integrated Circuits.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
Analog/RF Post-silicon Tuning via Bayesian Optimization.
ACM Trans. Design Autom. Electr. Syst., 2020

A Synthesizable Constant Tuning Gain Technique for Wideband <i>LC</i>-VCO Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Efficient Statistical Analysis for Correlated Rare Failure Events via Asymptotic Probability Approximation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Efficient Parametric Yield Estimation Over Multiple Process Corners via Bayesian Inference Based on Bernoulli Distribution.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Efficient Rare Failure Analysis Over Multiple Corners via Correlated Bayesian Inference.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Projection based Active Gaussian Process Regression for Pareto Front Modeling.
CoRR, 2020

Multi-Corner Parametric Yield Estimation via Bayesian Inference on Bernoulli Distribution with Conjugate Prior.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Exploring a Bayesian Optimization Framework Compatible with Digital Standard Flow for Soft-Error-Tolerant Circuit.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Graph-Constrained Sparse Performance Modeling for Analog Circuit Optimization via SDP Relaxation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Efficient Performance Trade-off Modeling for Analog Circuit based on Bayesian Neural Network.
Proceedings of the International Conference on Computer-Aided Design, 2019

2017
Efficient programming of reconfigurable radio frequency (RF) systems.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

A high performance real-time edge detection system with NEON.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
Harvesting Design Knowledge From the Internet: High-Dimensional Performance Tradeoff Modeling for Large-Scale Analog Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Efficient Spatial Variation Modeling of Nanoscale Integrated Circuits Via Hidden Markov Tree.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Efficient Hybrid Performance Modeling for Analog Circuits Using Hierarchical Shrinkage Priors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Efficient statistical analysis for correlated rare failure events via asymptotic probability approximation.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Efficient spatial variation modeling via robust dictionary learning.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2014
Integrated Algorithm for 3-D IC Through-Silicon Via Assignment.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Environment-Adaptable Efficient Optimization for Programming of Reconfigurable Radio Frequency (RF) Receivers.
Proceedings of the 2014 IEEE Military Communications Conference, 2014

Joint invariant estimation of RF impairments for reconfigurable Radio Frequency(RF) front-end.
Proceedings of the 2014 IEEE GLOBECOM Workshops, Austin, TX, USA, December 8-12, 2014, 2014

Toward efficient programming of reconfigurable radio frequency (RF) receivers.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
An efficient method for gradient-aware dummy fill synthesis.
Integr., 2013

2011
Binning Optimization for Transparently-Latched Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Efficient Approximation Algorithms for Chemical Mechanical Polishing Dummy Fill.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

2010
Stochastic Sparse-Grid Collocation Algorithm for Steady-State Analysis of Nonlinear System with Process Variations.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

Intel LVS logic as a combinational logic paradigm in CNT technology.
Proceedings of the 2010 IEEE/ACM International Symposium on Nanoscale Architectures, 2010

2009
A Modified Nested Sparse Grid Based Adaptive Stochastic Collocation Method for Statistical Static Timing Analysis.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Intra-Die Spatial Correlation Extraction with Maximum Likelihood Estimation Method for Multiple Test Chips.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Characterizing Intra-Die Spatial Correlation Using Spectral Density Fitting Method.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Binning optimization based on SSTA for transparently-latched circuits.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

Provably good and practically efficient algorithms for CMP dummy fill.
Proceedings of the 46th Design Automation Conference, 2009

2008
Adaptive Stochastic Collocation Method for Parameterized Statistical Timing Analysis with Quadratic Delay Model.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

Adaptive Stochastic Collocation Method (ASCM) for Parameterized Statistical Timing Analysis with Quadratic Delay Model.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Characterizing Intra-Die Spatial Correlation Using Spectral Density Method.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Timing yield driven clock skew scheduling considering non-Gaussian distributions of critical path delays.
Proceedings of the 45th Design Automation Conference, 2008

2007
Stochastic Sparse-grid Collocation Algorithm (SSCA) for Periodic Steady-State Analysis of Nonlinear System with Process Variations.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
A one-shot projection method for interconnects with process variations.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

2005
A novel wavelet method for noise analysis of nonlinear circuits.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Block SAPOR: block Second-order Arnoldi method for Passive Order Reduction of multi-input multi-output RCS interconnect circuits.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Analog circuit behavioral modeling via wavelet collocation method with auto-companding.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
An error distribution based nonlinear companding method for analog behavioral modeling via wavelet approximation.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003


  Loading...