Kiyoo Itoh

Affiliations:
  • Hitachi, Ltd., Tokyo, Japan


According to our database1, Kiyoo Itoh authored at least 34 papers between 1993 and 2012.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Awards

IEEE Fellow

IEEE Fellow 1996, "For seminal and sustained contributions to high-density DRAMs.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2012
Small-Sized Leakage-Controlled Gated Sense Amplifier for 0.5-V Multi-Gigabit DRAM Arrays.
IEICE Trans. Electron., 2012

0.5-V 25-nm 6-T Cell with Boosted Word Voltage for 1-Gb SRAMs.
IEICE Trans. Electron., 2012

A Low-<i>V</i><sub>t</sub> Small-Offset Gated-Preamplifier for Sub-1-V DRAM Mid-Point Sensing.
IEICE Trans. Electron., 2012

2011
Nanoscale Memory Repair
Integrated Circuits and Systems, Springer, ISBN: 978-1-4419-7958-2, 2011

Embedded Memories: Progress and a Look into the Future.
IEEE Des. Test Comput., 2011

Beyond the horizon: The next 10x reduction in power - Challenges and solutions.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

Device-conscious circuit designs for 0.5-V high-speed memory-rich nanoscale CMOS LSIs.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

2010
Adaptive Circuits for the 0.5-V Nanoscale CMOS Era.
IEICE Trans. Electron., 2010

Variability-Conscious Circuit Designs for Low-Voltage Memory-Rich Nano-Scale CMOS LSIs.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2010

2009
Adaptive circuits for the 0.5-V nanoscale CMOS era.
Proceedings of the IEEE International Solid-State Circuits Conference, 2009

Low-Vt small-offset gated preamplifier for sub-1V gigabit DRAM arrays.
Proceedings of the IEEE International Solid-State Circuits Conference, 2009

Leakage- and variability-conscious circuit designs for the 0.5-v nanoscale CMOS era.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

2008
Memory at VLSI Circuits Symposium.
IEEE J. Solid State Circuits, 2008

2007
Ultra-Low Voltage Nano-Scale Memories
Series on Integrated Circuits and Systems, Springer, ISBN: 978-0-387-68853-4, 2007

Long-Retention-Time, High-Speed DRAM Array with 12-<i>F</i><sup>2</sup> Twin Cell for Sub 1-V Operation.
IEICE Trans. Electron., 2007

Low-Voltage Limitations and Challenges of Memory-Rich Nano-Scale CMOS LSIs.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

Low-Voltage Limitations and Challenges of Memory-Rich Nano-Scale CMOS LSIs.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

Low-voltage limitations of deep-sub-100-nm CMOS LSIs: view of memory designers.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Low-voltage limitations of memory-rich nano-scale CMOS LSIs.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007

2006
A 0.5-V FD-SOI twin-cell DRAM with offset-free dynamic-V<sub><i>T</i></sub> sense amplifiers.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

Ultra-low voltage nano-scale embedded RAMs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

2004
Low-Voltage Embedded RAMs - Current Status and Future Trends.
Proceedings of the Integrated Circuit and System Design, 2004

Reviews and future prospects of low-voltage embedded RAMs.
Proceedings of the IEEE 2004 Custom Integrated Circuits Conference, 2004

2003
Review and future prospects of low-voltage RAM circuits.
IBM J. Res. Dev., 2003

2002
A low-impedance open-bitline array for multigigabit DRAM.
IEEE J. Solid State Circuits, 2002

Trends in Ultralow-Voltage RAM Technology.
Proceedings of the Integrated Circuit Design. Power and Timing Modeling, 2002

Low-voltage memories for power-aware systems.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002

2001
A multigigabit DRAM technology with 6F<sup>2</sup> open-bitline cell, distributed overdriven sensing, and stacked-flash fuse.
IEEE J. Solid State Circuits, 2001

Low-Voltage Embedded-RAM Technology: Present and Future.
Proceedings of the SOC Design Methodologies, 2001

1997
Limitations and challenges of multigigabit DRAM chip design.
IEEE J. Solid State Circuits, 1997

1995
Trends in low-power RAM circuit technologies.
Proc. IEEE, 1995

1994
Two-dimensional power-line selection scheme for low subthreshold-current multi-gigabit DRAM's.
IEEE J. Solid State Circuits, August, 1994

Subthreshold-current reduction circuits for multi-gigabit DRAM's.
IEEE J. Solid State Circuits, July, 1994

1993
A single 1.5-V digital chip for a 10<sup>6</sup> synapse neural network.
IEEE Trans. Neural Networks, 1993


  Loading...