Majid Jalili

Orcid: 0000-0001-5166-4788

Affiliations:
  • University of Texas at Austin, TX, USA
  • Sharif University of Technology, Iran


According to our database1, Majid Jalili authored at least 17 papers between 2014 and 2023.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Harvesting L2 Caches in Server Processors.
CoRR, 2023

2022
Managing Prefetchers With Deep Reinforcement Learning.
IEEE Comput. Archit. Lett., 2022

Reducing Load Latency with Cache Level Prediction.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

2019
A Survey on PCM Lifetime Enhancement Schemes.
ACM Comput. Surv., 2019

2018
Express Read in MLC Phase Change Memories.
ACM Trans. Design Autom. Electr. Syst., 2018

Improving MLC PCM Performance through Relaxed Write and Read for Intermediate Resistance Levels.
ACM Trans. Archit. Code Optim., 2018

Chapter Two - Revisiting Processor Allocation and Application Mapping in Future CMPs in Dark Silicon Era.
Adv. Comput., 2018

2017
Endurance-Aware Security Enhancement in Non-Volatile Memories Using Compression and Selective Encryption.
IEEE Trans. Computers, 2017

Data Block Partitioning for Recovering Stuck-at Faults in PCMs.
Proceedings of the 2017 International Conference on Networking, Architecture, and Storage, 2017

2016
An efficient on-chip network with packet compression capability.
Proceedings of the International SoC Design Conference, 2016

Power-efficient partially-adaptive routing in on-chip mesh networks.
Proceedings of the International SoC Design Conference, 2016

Efficient processor allocation in a reconfigurable CMP architecture for dark silicon era.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

Tolerating more hard errors in MLC PCMs using compression.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

Captopril: Reducing the pressure of bit flips on hot locations in non-volatile main memories.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

BLESS: a simple and efficient scheme for prolonging PCM lifetime.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2014
A Reliable 3D MLC PCM Architecture with Resistance Drift Predictor.
Proceedings of the 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2014

A compression-based morphable PCM architecture for improving resistance drift tolerance.
Proceedings of the IEEE 25th International Conference on Application-Specific Systems, 2014


  Loading...