% csauthors.net - beta - BibTeX bibliography of Massoud Pedram
@inproceedings{conf/iccad/EschermannDKP88,
title = {Hierarchical placement for macrocells: a 'meet in the middle' approach.},
year = {1988},
booktitle = {ICCAD},
author = {{Bernhard Eschermann} and {Wayne Wei-Ming Dai} and {Ernest S. Kuh} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {1988 IEEE International Conference on Computer-Aided Design, ICCAD 1988, Santa Clara, CA, USA, November 7-10, 1988. Digest of Technical Papers}
}
@inproceedings{conf/dac/PreasPC89,
title = {Automatic Layout of Silicon-on-Silicon Hybrid Packages.},
year = {1989},
booktitle = {DAC},
author = {{Bryan Preas} and {Massoud Pedram} and {Don Curry}},
publisher = {ACM Press},
booktitle = {Proceedings of the 26th ACM/IEEE Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989.}
}
@inproceedings{conf/iccad/PedramP89,
title = {Interconnection length estimation for optimized standard cell layouts.},
year = {1989},
booktitle = {ICCAD},
author = {{Massoud Pedram} and {Bryan Preas}},
publisher = {IEEE Computer Society},
booktitle = {1989 IEEE International Conference on Computer-Aided Design, ICCAD 1989, Santa Clara, CA, USA, November 5-9, 1989. Digest of Technical Papers}
}
@inproceedings{conf/iccd/PedramP89,
title = {Accurate prediction of physical design characteristics for random logic.},
year = {1989},
booktitle = {ICCD},
author = {{Massoud Pedram} and {Bryan Preas}},
publisher = {IEEE},
booktitle = {Computer Design: VLSI in Computers and Processors, ICCD 1989. Proceedings., 1989 IEEE International Conference on, Cambridge, MA, USA, October 2-4, 1989}
}
@inproceedings{conf/iccad/PedramMK90,
title = {Floorplanning with Pin Assignment.},
year = {1990},
booktitle = {ICCAD},
author = {{Massoud Pedram} and {Malgorzata Marek-Sadowska} and {Ernest S. Kuh}},
publisher = {IEEE Computer Society},
booktitle = {IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}
}
@inproceedings{conf/iccd/PedramP90,
title = {A hierarchical floorplanning approach.},
year = {1990},
booktitle = {ICCD},
author = {{Massoud Pedram} and {Bryan Preas}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors, ICCD 1990, Cambridge, MA, USA, 17-19 September, 1990}
}
@inproceedings{conf/dac/PedramB91,
title = {Layout Driven Technology Mapping.},
year = {1991},
booktitle = {DAC},
author = {{Massoud Pedram} and {Narasimha B. Bhat}},
publisher = {ACM},
booktitle = {Proceedings of the 28th Design Automation Conference, San Francisco, California, USA, June 17-21, 1991.}
}
@inproceedings{conf/iccad/PedramB91,
title = {Layout Driven Logic Restructuring/Decomposition.},
year = {1991},
booktitle = {ICCAD},
author = {{Massoud Pedram} and {Narasimha B. Bhat}},
publisher = {IEEE Computer Society},
booktitle = {1991 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}
}
@inproceedings{conf/iccd/PedramCK91,
title = {I/O Pad Assignment Based on the Circuit Structure.},
year = {1991},
booktitle = {ICCD},
author = {{Massoud Pedram} and {Kamal Chaudhary} and {Ernest S. Kuh}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, ICCD '91, Cambridge, MA, USA, October 14-16, 1991}
}
@inproceedings{conf/vlsi/MayrhoferPL91,
title = {A Flow-Oriented Approach to the Placement of Boolean Networks.},
year = {1991},
booktitle = {VLSI},
author = {{Stefan Mayrhofer} and {Massoud Pedram} and {Ulrich Lauther}},
publisher = {North-Holland},
booktitle = {VLSI 91, Proceedings of the IFIP TC10/WG 10.5 International Conference on Very Large Scale Integration, Edinburgh, Scotland, 20-22 August, 1991}
}
@inproceedings{conf/dac/ChaudharyP92,
title = {A Near Optimal Algorithm for Technology Mapping Minimizing Area under Delay Constraints.},
year = {1992},
booktitle = {DAC},
author = {{Kamal Chaudhary} and {Massoud Pedram}},
publisher = {IEEE Computer Society Press},
booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992.}
}
@inproceedings{conf/eurodac/MukherjeePB92,
title = {Minimal area merger of finite state machine controllers.},
year = {1992},
booktitle = {EURO-DAC},
author = {{Debaditya Mukherjee} and {Massoud Pedram} and {Melvin A. Breuer}},
publisher = {IEEE Computer Society Press},
booktitle = {Proceedings of the conference on European design automation, EURO-DAC '92, Hamburg, Germany, September 7-10, 1992}
}
@inproceedings{conf/iccd/LaiSP92,
title = {Boolean Matching Using Binary Decision Diagrams with Applications to Logic Synthesis and Verification.},
year = {1992},
booktitle = {ICCD},
author = {{Yung-Te Lai} and {Sarma Sastry} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, ICCD '92, Cambridge, MA, USA, October 11-14, 1992}
}
@inproceedings{conf/dac/LaiPV93,
title = {BDD Based Decomposition of Logic Functions with Application to FPGA Synthesis.},
year = {1993},
booktitle = {DAC},
author = {{Yung-Te Lai} and {Massoud Pedram} and {Sarma B. K. Vrudhula}},
publisher = {ACM Press},
booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993.}
}
@inproceedings{conf/dac/TsuiPD93,
title = {Technology Decomposition and Mapping Targeting Low Power Dissipation.},
year = {1993},
booktitle = {DAC},
author = {{Chi-Ying Tsui} and {Massoud Pedram} and {Alvin M. Despain}},
publisher = {ACM Press},
booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993.}
}
@inproceedings{conf/dac/VaishnavP93,
title = {Routability-Driven Fanout Optimization.},
year = {1993},
booktitle = {DAC},
author = {{Hirendu Vaishnav} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993.}
}
@inproceedings{conf/eurodac/VaishnavP93,
title = {PCUBE: A performance driven placement algorithm for low power designs.},
year = {1993},
booktitle = {EURO-DAC},
author = {{Hirendu Vaishnav} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the European Design Automation Conference 1993, EURO-DAC '93 with EURO-VHDL'93, Hamburg, Germany, September 20-24, 1993}
}
@inproceedings{conf/iccad/LaiPV93,
title = {FGILP: an integer linear program solver based on function graphs.},
year = {1993},
booktitle = {ICCAD},
author = {{Yung-Te Lai} and {Massoud Pedram} and {Sarma B. K. Vrudhula}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}
}
@inproceedings{conf/iccad/MukherjeePB93,
title = {Merging multiple FSM controllers for DFT/BIST hardware.},
year = {1993},
booktitle = {ICCAD},
author = {{Debaditya Mukherjee} and {Massoud Pedram} and {Melvin A. Breuer}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}
}
@inproceedings{conf/iccad/PedramNP93,
title = {Architecture and routability analysis for row-based FPGAs.},
year = {1993},
booktitle = {ICCAD},
author = {{Massoud Pedram} and {Bahman S. Nobandegani} and {Bryan Preas}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}
}
@inproceedings{conf/iccad/TsuiPD93,
title = {Efficient estimation of dynamic power consumption under a real delay model.},
year = {1993},
booktitle = {ICCAD},
author = {{Chi-Ying Tsui} and {Massoud Pedram} and {Alvin M. Despain}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}
}
@inproceedings{conf/dac/ImanPC94,
title = {Technology Mapping Using Fuzzy Logic.},
year = {1994},
booktitle = {DAC},
author = {{Sasan Iman} and {Massoud Pedram} and {Kamal Chaudhary}},
publisher = {ACM Press},
booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994.}
}
@inproceedings{conf/dac/TsuiPD94,
title = {Exact and Approximate Methods for Calculating Signal and Transition Probabilities in FSMs.},
year = {1994},
booktitle = {DAC},
author = {{Chi-Ying Tsui} and {Massoud Pedram} and {Alvin M. Despain}},
publisher = {ACM Press},
booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994.}
}
@inproceedings{conf/iccad/ImanP94,
title = {Multi-level network optimization for low power.},
year = {1994},
booktitle = {ICCAD},
author = {{Sasan Iman} and {Massoud Pedram}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1994, San Jose, California, USA, November 6-10, 1994}
}
@inproceedings{conf/iccad/MarculescuMP94,
title = {Switching activity analysis considering spatiotemporal correlations.},
year = {1994},
booktitle = {ICCAD},
author = {{Radu Marculescu} and {Diana Marculescu} and {Massoud Pedram}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1994, San Jose, California, USA, November 6-10, 1994}
}
@inproceedings{conf/iccad/TsuiPCD94,
title = {Low power state assignment targeting two-and multi-level logic implementations.},
year = {1994},
booktitle = {ICCAD},
author = {{Chi-Ying Tsui} and {Massoud Pedram} and {Chih-Ang Chen} and {Alvin M. Despain}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1994, San Jose, California, USA, November 6-10, 1994}
}
@inproceedings{conf/iccd/LaiPP94,
title = {FPGA Synthesis Using Function Decomposition.},
year = {1994},
booktitle = {ICCD},
author = {{Yung-Te Lai} and {Kuo-Rueih Ricky Pan} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, ICCD '94, Cambridge, MA, USA, October 10-12, 1994}
}
@inproceedings{conf/itc/MukherjeePB94,
title = {Control Strategies for Chip-Based DFT/BIST Hardware.},
year = {1994},
booktitle = {ITC},
author = {{Debaditya Mukherjee} and {Massoud Pedram} and {Melvin A. Breuer}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings IEEE International Test Conference 1994, TEST: The Next 25 Years, Washington, DC, USA, October 2-6, 1994}
}
@article{journals/tcad/LaiPV94,
title = {EVBDD-based algorithms for integer linear programming, spectral transformation, and function decomposition.},
year = {1994},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Yung-Te Lai} and {Massoud Pedram} and {Sarma B. K. Vrudhula}}
}
@article{journals/tcad/PedramNP94,
title = {Design and analysis of segmented routing channels for row-based FPGA's.},
year = {1994},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Massoud Pedram} and {Bahman S. Nobandegani} and {Bryan Preas}}
}
@article{journals/tcad/TsuiPD94,
title = {Power efficient technology decomposition and mapping under an extended power consumption model.},
year = {1994},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Chi-Ying Tsui} and {Massoud Pedram} and {Alvin M. Despain}}
}
@inproceedings{conf/dac/ChangP95,
title = {Register Allocation and Binding for Low Power.},
year = {1995},
booktitle = {DAC},
author = {{Jui-Ming Chang} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 32st Conference on Design Automation, San Francisco, California, USA, Moscone Center, June 12-16, 1995.}
}
@inproceedings{conf/dac/ImanP95,
title = {Logic Extraction and Factorization for Low Power.},
year = {1995},
booktitle = {DAC},
author = {{Sasan Iman} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 32st Conference on Design Automation, San Francisco, California, USA, Moscone Center, June 12-16, 1995.}
}
@inproceedings{conf/dac/LiuPD95,
title = {A Fast State Assignment Procedure for Large FSMs.},
year = {1995},
booktitle = {DAC},
author = {{Shihming Liu} and {Massoud Pedram} and {Alvin M. Despain}},
publisher = {ACM Press},
booktitle = {Proceedings of the 32st Conference on Design Automation, San Francisco, California, USA, Moscone Center, June 12-16, 1995.}
}
@inproceedings{conf/dac/MarculescuMP95,
title = {Efficient Power Estimation for Highly Correlated Input Streams.},
year = {1995},
booktitle = {DAC},
author = {{Radu Marculescu} and {Diana Marculescu} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 32st Conference on Design Automation, San Francisco, California, USA, Moscone Center, June 12-16, 1995.}
}
@inproceedings{conf/dac/VaishnavP95,
title = {Minimizing the Routing Cost During Logic Extraction.},
year = {1995},
booktitle = {DAC},
author = {{Hirendu Vaishnav} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 32st Conference on Design Automation, San Francisco, California, USA, Moscone Center, June 12-16, 1995.}
}
@inproceedings{conf/iccad/ImanP95,
title = {Two-level logic minimization for low power.},
year = {1995},
booktitle = {ICCAD},
author = {{Sasan Iman} and {Massoud Pedram}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1995, San Jose, California, USA, November 5-9, 1995}
}
@inproceedings{conf/iccad/VaishnavP95,
title = {Delay optimal partitioning targeting low power VLSI circuits.},
year = {1995},
booktitle = {ICCAD},
author = {{Hirendu Vaishnav} and {Massoud Pedram}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1995, San Jose, California, USA, November 5-9, 1995}
}
@inproceedings{conf/iccd/VaishnavP95,
title = {Logic extraction based on normalized netlengths.},
year = {1995},
booktitle = {ICCD},
author = {{Hirendu Vaishnav} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings}
}
@inproceedings{conf/iscas/LiuPD95,
title = {PLATO P: PLA Timing Optimization by Partitioning.},
year = {1995},
booktitle = {ISCAS},
author = {{Shihming Liu} and {Massoud Pedram} and {Alvin M. Despain}},
publisher = {IEEE},
booktitle = {1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30 - May 3, 1995}
}
@inproceedings{conf/islped/MarculescuMP95,
title = {Information theoretic measures of energy consumption at register transfer level.},
year = {1995},
booktitle = {ISLPD},
author = {{Diana Marculescu} and {Radu Marculescu} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 1995 International Symposium on Low Power Design 1995, Dana Point, California, USA, April 23-26, 1995}
}
@article{journals/pieee/SinghRPCRSM95,
title = {Power conscious CAD tools and methodologies: a perspective.},
year = {1995},
journal = {Proc. IEEE},
author = {{Deo Singh} and {Jan M. Rabaey} and {Massoud Pedram} and {Francky Catthoor} and {Suresh Rajgopal} and {Naresh Sehgal} and {Thomas J. Mozdzen}}
}
@article{journals/tcad/ChaudharyP95,
title = {Computing the area versus delay trade-off curves in technology mapping.},
year = {1995},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Kamal Chaudhary} and {Massoud Pedram}}
}
@article{journals/tvlsi/TsuiMPDDL95,
title = {Power estimation methods for sequential logic circuits.},
year = {1995},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Chi-Ying Tsui} and {José Monteiro 001} and {Massoud Pedram} and {Srinivas Devadas} and {Alvin M. Despain} and {Bill Lin 001}}
}
@inproceedings{conf/dac/ImanP96,
title = {POSE: Power Optimization and Synthesis Environment.},
year = {1996},
booktitle = {DAC},
author = {{Sasan Iman} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996.}
}
@inproceedings{conf/dac/MarculescuMP96,
title = {Stochastic Sequential Machine Synthesis Targeting Constrained Sequence Generation.},
year = {1996},
booktitle = {DAC},
author = {{Diana Marculescu} and {Radu Marculescu} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996.}
}
@inproceedings{conf/dac/OhPP96,
title = {Constructing Lower and Upper Bounded Delay Routing Trees Using Linear Programming.},
year = {1996},
booktitle = {DAC},
author = {{Jaewon Oh} and {Iksoo Pyo} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996.}
}
@inproceedings{conf/dac/TsuiMMP96,
title = {Improving the Efficiency of Power Simulators by Input Vector Compaction.},
year = {1996},
booktitle = {DAC},
author = {{Chi-Ying Tsui} and {Radu Marculescu} and {Diana Marculescu} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996.}
}
@inproceedings{conf/date/PanP96,
title = {FPGA synthesis for minimum area, delay and power.},
year = {1996},
booktitle = {ED&TC},
author = {{Kuo-Rueih Ricky Pan} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {1996 European Design and Test Conference, ED&TC 1996, Paris, France, March 11-14, 1996}
}
@inproceedings{conf/eurodac/PedramC96,
title = {Module assignment for low power.},
year = {1996},
booktitle = {EURO-DAC},
author = {{Massoud Pedram} and {Jui-Ming Chang}},
publisher = {IEEE Computer Society Press},
booktitle = {Proceedings of the conference on European design automation, EURO-DAC '96/EURO-VHDL '96, Geneva, Switzerland, September 16-20, 1996}
}
@inproceedings{conf/iccad/HsiehWDP96,
title = {Statistical sampling and regression analysis for RT-level power evaluation.},
year = {1996},
booktitle = {ICCAD},
author = {{Cheng-Ta Hsieh} and {Qing Wu 002} and {Chih-Shun Ding} and {Massoud Pedram}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1996, San Jose, CA, USA, November 10-14, 1996}
}
@article{journals/tc/LaiPV96,
title = {Formal Verification Using Edge-Valued Binary Decision Diagrams.},
year = {1996},
journal = {IEEE Trans. Computers},
author = {{Yung-Te Lai} and {Massoud Pedram} and {Sarma B. K. Vrudhula}}
}
@article{journals/tcad/ImanP96,
title = {An approach for multilevel logic optimization targeting low power.},
year = {1996},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Sasan Iman} and {Massoud Pedram}}
}
@article{journals/tcad/LaiPP96,
title = {OBDD-based function decomposition: algorithms and implementation.},
year = {1996},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Yung-Te Lai} and {Kuo-Rueih Ricky Pan} and {Massoud Pedram}}
}
@article{journals/tcad/MarculescuMP96,
title = {Information theoretic measures for power analysis [logic design].},
year = {1996},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Diana Marculescu} and {Radu Marculescu} and {Massoud Pedram}}
}
@article{journals/tcad/PedramI96,
title = {Correction to "An Approach for Multilevel Logic Optimization Targeting Low Power".},
year = {1996},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Massoud Pedram} and {Sasan Iman}}
}
@article{journals/todaes/Pedram96,
title = {Power minimization in IC design: principles and applications.},
year = {1996},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Massoud Pedram}}
}
@article{journals/tvlsi/TsuiMPDDL96,
title = {Correction to "Power Estimation Methods for Sequential Logic Circuits" [Correspondence].},
year = {1996},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Chi-Ying Tsui} and {José Monteiro 001} and {Massoud Pedram} and {Srinivas Devadas} and {Alvin M. Despain} and {Bill Lin 001}}
}
@inproceedings{conf/aspdac/MarculescuMP97,
title = {Adaptive models for input data compaction for power simulators.},
year = {1997},
booktitle = {ASP-DAC},
author = {{Radu Marculescu} and {Diana Marculescu} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, Nippon Convention Center, Chiba, Japan, January 28-31, 1997}
}
@inproceedings{conf/aspdac/PedramW97,
title = {A new description of CMOS circuits at switch-level.},
year = {1997},
booktitle = {ASP-DAC},
author = {{Massoud Pedram} and {Xunwei Wu}},
publisher = {IEEE},
booktitle = {Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, Nippon Convention Center, Chiba, Japan, January 28-31, 1997}
}
@inproceedings{conf/aspdac/WuDHP97,
title = {Statistical design of macro-models for RT-level power evaluation.},
year = {1997},
booktitle = {ASP-DAC},
author = {{Qing Wu 002} and {Chih-Shun Ding} and {Cheng-Ta Hsieh} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, Nippon Convention Center, Chiba, Japan, January 28-31, 1997}
}
@inproceedings{conf/aspdac/WuPW97,
title = {A note on the relationship between signal probability and switching activity.},
year = {1997},
booktitle = {ASP-DAC},
author = {{Qing Wu 002} and {Massoud Pedram} and {Xunwei Wu}},
publisher = {IEEE},
booktitle = {Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, Nippon Convention Center, Chiba, Japan, January 28-31, 1997}
}
@inproceedings{conf/dac/DingWHP97,
title = {Statistical Estimation of the Cumulative Distribution Function for Power Dissipation in VLSI Cirucits.},
year = {1997},
booktitle = {DAC},
author = {{Chih-Shun Ding} and {Qing Wu 002} and {Cheng-Ta Hsieh} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997.}
}
@inproceedings{conf/dac/HsiehPMR97,
title = {Profile-Driven Program Synthesis for Evaluation of System Power Dissipation.},
year = {1997},
booktitle = {DAC},
author = {{Cheng-Ta Hsieh} and {Massoud Pedram} and {Gaurav Mehta} and {Fred Rastgar}},
publisher = {ACM Press},
booktitle = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997.}
}
@inproceedings{conf/dac/MarculescuMP97,
title = {Sequence Compaction for Probabilistic Analysis of Finite-State Machines.},
year = {1997},
booktitle = {DAC},
author = {{Diana Marculescu} and {Radu Marculescu} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997.}
}
@inproceedings{conf/dac/MarculescuMP97a,
title = {Hierarchical Sequence Compaction for Power Estimation.},
year = {1997},
booktitle = {DAC},
author = {{Radu Marculescu} and {Diana Marculescu} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997.}
}
@inproceedings{conf/dac/TsuiCWDP97,
title = {A Power Estimation Framework for Designing Low Power Portable Video Applications.},
year = {1997},
booktitle = {DAC},
author = {{Chi-Ying Tsui} and {Kai-Keung Chan} and {Qing Wu 002} and {Chih-Shun Ding} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997.}
}
@inproceedings{conf/iccad/LouSP97,
title = {An exact solution to simultaneous technology mapping and linear placement problem.},
year = {1997},
booktitle = {ICCAD},
author = {{Jinan Lou} and {Amir H. Salek} and {Massoud Pedram}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997}
}
@inproceedings{conf/iccd/MehrotraPW97,
title = {Comparison between nMos Pass Transistor logic style vs. CMOS Complementary Cells.},
year = {1997},
booktitle = {ICCD},
author = {{Rakesh Mehrotra} and {Massoud Pedram} and {Xunwei Wu}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, ICCD '97, Austin, Texas, USA, October 12-15, 1997}
}
@inproceedings{conf/iccd/VaishnavLP97,
title = {Post Layout Speed-up by Event Elimination.},
year = {1997},
booktitle = {ICCD},
author = {{Hirendu Vaishnav} and {Chi-Keung Lee} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, ICCD '97, Austin, Texas, USA, October 12-15, 1997}
}
@inproceedings{conf/islped/MarculescuMP97,
title = {Composite sequence compaction for finite-state machines using block entropy and high-order Markov models.},
year = {1997},
booktitle = {ISLPED},
author = {{Radu Marculescu} and {Diana Marculescu} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997, Monterey, California, USA, August 18-20, 1997}
}
@inproceedings{conf/ismvl/WuP97,
title = {Design of Ternary CCD Circuits Referencing to Current-Mode CMOS Circuits.},
year = {1997},
booktitle = {ISMVL},
author = {{Xunwei Wu} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {27th IEEE International Symposium on Multiple-Valued Logic, ISMVL 1997, Antigonish, Nova Scotia, Canada, May 28-30, 1997, Proceedings}
}
@article{journals/fmsd/TafertshoferP97,
title = {Factored Edge-Valued Binary Decision Diagrams.},
year = {1997},
journal = {Formal Methods Syst. Des.},
author = {{Paul Tafertshofer} and {Massoud Pedram}}
}
@article{journals/integration/LiuPD97,
title = {State assignment based on two-dimensional placement and hypercube mapping.},
year = {1997},
journal = {Integr.},
author = {{Shihming Liu} and {Massoud Pedram} and {Alvin M. Despain}}
}
@article{journals/integration/OhPP97,
title = {Constructing minimal spanning/Steiner trees with bounded path length.},
year = {1997},
journal = {Integr.},
author = {{Jaewon Oh} and {Iksoo Pyo} and {Massoud Pedram}}
}
@article{journals/tvlsi/ChangP97,
title = {Energy minimization using multiple supply voltages.},
year = {1997},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Jui-Ming Chang} and {Massoud Pedram}}
}
@article{journals/vlsi/PedramBK97,
title = {Combining Technology Mapping With Layout.},
year = {1997},
journal = {VLSI Design},
author = {{Massoud Pedram} and {Narasimha B. Bhat} and {Ernest S. Kuh}}
}
@article{journals/vlsisp/PedramV97,
title = {Power Optimization in VLSI Layout: A Survey.},
year = {1997},
journal = {J. VLSI Signal Process.},
author = {{Massoud Pedram} and {Hirendu Vaishnav}}
}
@inproceedings{conf/aspdac/LouSP98,
title = {An Integrated Flow for Technology Remapping and Placement of Sub-half-micron Circuits.},
year = {1998},
booktitle = {ASP-DAC},
author = {{Jinan Lou} and {Amir H. Salek} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the ASP-DAC '98, Asia and South Pacific Design Automation Conference 1998, Pacifico Yokohama, Yokohama, Japan, February 10-13, 1998}
}
@inproceedings{conf/aspdac/OhP98,
title = {Power Reduction in Microprocessor Chips by Gated Clock Routing.},
year = {1998},
booktitle = {ASP-DAC},
author = {{Jaewon Oh} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the ASP-DAC '98, Asia and South Pacific Design Automation Conference 1998, Pacifico Yokohama, Yokohama, Japan, February 10-13, 1998}
}
@inproceedings{conf/aspdac/Pedram98,
title = {Logical-Physical Co-design for Deep Submicron Circuits: Challenges and Solutions (Embedded Tutorial).},
year = {1998},
booktitle = {ASP-DAC},
author = {{Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the ASP-DAC '98, Asia and South Pacific Design Automation Conference 1998, Pacifico Yokohama, Yokohama, Japan, February 10-13, 1998}
}
@inproceedings{conf/aspdac/PedramWW98,
title = {A New Design for Double Edge Triggered Flip-flops.},
year = {1998},
booktitle = {ASP-DAC},
author = {{Massoud Pedram} and {Qing Wu 002} and {Xunwei Wu}},
publisher = {IEEE},
booktitle = {Proceedings of the ASP-DAC '98, Asia and South Pacific Design Automation Conference 1998, Pacifico Yokohama, Yokohama, Japan, February 10-13, 1998}
}
@inproceedings{conf/dac/OhP98,
title = {Multi-Pad Power/Ground Network Design for Uniform Distribution of Ground Bounce.},
year = {1998},
booktitle = {DAC},
author = {{Jaewon Oh} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998.}
}
@inproceedings{conf/dac/QiuWP98,
title = {Maximum Power Estimation Using the Limiting Distributions of Extreme Order Statistics.},
year = {1998},
booktitle = {DAC},
author = {{Qinru Qiu} and {Qing Wu 002} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998.}
}
@inproceedings{conf/dac/SalekLP98,
title = {A DSM Design Flow: Putting Floorplanning, Technology-Napping, and Gate-Placement Together.},
year = {1998},
booktitle = {DAC},
author = {{Amir H. Salek} and {Jinan Lou} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998.}
}
@inproceedings{conf/date/MarculescuMP98,
title = {Trace-Driven Steady-State Probability Estimation in FSMs with Application to Power Estimation.},
year = {1998},
booktitle = {DATE},
author = {{Diana Marculescu} and {Radu Marculescu} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {1998 Design, Automation and Test in Europe (DATE '98), February 23-26, 1998, Le Palais des Congrès de Paris, Paris, France}
}
@inproceedings{conf/date/OhP98,
title = {Gated Clock Routing Minimizing the Switched Capacitance.},
year = {1998},
booktitle = {DATE},
author = {{Jaewon Oh} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {1998 Design, Automation and Test in Europe (DATE '98), February 23-26, 1998, Le Palais des Congrès de Paris, Paris, France}
}
@inproceedings{conf/iccad/CocchiniPPZ98,
title = {Fanout optimization under a submicron transistor-level delay model.},
year = {1998},
booktitle = {ICCAD},
author = {{Pasquale Cocchini} and {Massoud Pedram} and {Gianluca Piccinini} and {Maurizio Zamboni}},
publisher = {ACM / IEEE Computer Society},
booktitle = {Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1998, San Jose, CA, USA, November 8-12, 1998}
}
@inproceedings{conf/iccad/SalekLP98,
title = {A simultaneous routing tree construction and fanout optimization algorithm.},
year = {1998},
booktitle = {ICCAD},
author = {{Amir H. Salek} and {Jinan Lou} and {Massoud Pedram}},
publisher = {ACM / IEEE Computer Society},
booktitle = {Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1998, San Jose, CA, USA, November 8-12, 1998}
}
@inproceedings{conf/islped/DingHP98,
title = {Improving sampling efficiency for system level power estimation.},
year = {1998},
booktitle = {ISLPED},
author = {{Chih-Shun Ding} and {Cheng-Ta Hsieh} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998, Monterey, California, USA, August 10-12, 1998}
}
@inproceedings{conf/ispd/HeydariP98,
title = {Calculation of ramp response of lossy transmission lines using two-port network functions.},
year = {1998},
booktitle = {ISPD},
author = {{Payam Heydari} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 1998 International Symposium on Physical Design, ISPD 1998, Monterey, CA, USA, April 6-8, 1998}
}
@article{journals/integration/TsuiP98,
title = {Accurate and efficient power simulation strategy by compacting the input vector set.},
year = {1998},
journal = {Integr.},
author = {{Chi-Ying Tsui} and {Massoud Pedram}}
}
@article{journals/tcad/DingTP98,
title = {Gate-level power estimation using tagged probabilistic simulation.},
year = {1998},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Chih-Shun Ding} and {Chi-Ying Tsui} and {Massoud Pedram}}
}
@article{journals/tcad/DingWHP98,
title = {Stratified random sampling for power estimation.},
year = {1998},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Chih-Shun Ding} and {Qing Wu 002} and {Cheng-Ta Hsieh} and {Massoud Pedram}}
}
@article{journals/tcad/HsiehP98,
title = {Microprocessor power estimation using profile-driven program synthesis.},
year = {1998},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Cheng-Ta Hsieh} and {Massoud Pedram}}
}
@article{journals/tcad/MaciiPS98,
title = {High-level power modeling, estimation, and optimization.},
year = {1998},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Enrico Macii} and {Massoud Pedram} and {Fabio Somenzi}}
}
@article{journals/tcad/MarculescuMP98,
title = {Probabilistic modeling of dependencies during switching activity analysis.},
year = {1998},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Radu Marculescu} and {Diana Marculescu} and {Massoud Pedram}}
}
@article{journals/tcad/TsuiPD98,
title = {Low-power state assignment targeting two- and multilevel logic implementations.},
year = {1998},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Chi-Ying Tsui} and {Massoud Pedram} and {Alvin M. Despain}}
}
@article{journals/tvlsi/WuQPD98,
title = {Cycle-accurate macro-models for RT-level power analysis.},
year = {1998},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Qing Wu 002} and {Qinru Qiu} and {Massoud Pedram} and {Chih-Shun Ding}}
}
@inproceedings{conf/aspdac/OuP99,
title = {Timing-Driven Bipartitioning with Replication Using Iterative Quadratic Programming.},
year = {1999},
booktitle = {ASP-DAC},
author = {{Shihliang Ou} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 1999 Conference on Asia South Pacific Design Automation, Wanchai, Hong Kong, China, January 18-21, 1999}
}
@inproceedings{conf/aspdac/PedramTW99,
title = {An Integrated Battery-Hardware Model for Portable Electronics.},
year = {1999},
booktitle = {ASP-DAC},
author = {{Massoud Pedram} and {Chi-Ying Tsui} and {Qing Wu 002}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 1999 Conference on Asia South Pacific Design Automation, Wanchai, Hong Kong, China, January 18-21, 1999}
}
@inproceedings{conf/aspdac/RabieiP99,
title = {Model Order Reduction of Large Circuits Using Balanced Truncation.},
year = {1999},
booktitle = {ASP-DAC},
author = {{Payam Rabiei} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 1999 Conference on Asia South Pacific Design Automation, Wanchai, Hong Kong, China, January 18-21, 1999}
}
@inproceedings{conf/dac/PedramW99,
title = {Design Considerations for Battery-Powered Electronics.},
year = {1999},
booktitle = {DAC},
author = {{Massoud Pedram} and {Qing Wu 002}},
publisher = {ACM Press},
booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999.}
}
@inproceedings{conf/dac/QiuP99,
title = {Dynamic Power Management Based on Continuous-Time Markov Decision Processes.},
year = {1999},
booktitle = {DAC},
author = {{Qinru Qiu} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999.}
}
@inproceedings{conf/dac/SalekLP99,
title = {MERLIN: Semi-Order-Independent Hierarchical Buffered Routing Tree Generation Using Local Neighborhood Search.},
year = {1999},
booktitle = {DAC},
author = {{Amir H. Salek} and {Jinan Lou} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999.}
}
@inproceedings{conf/date/ChangP99,
title = {Codex-dp: Co-design of Communicating Systems Using Dynamic Programming.},
year = {1999},
booktitle = {DATE},
author = {{Jui-Ming Chang} and {Massoud Pedram}},
publisher = {IEEE Computer Society / ACM},
booktitle = {1999 Design, Automation and Test in Europe (DATE '99), 9-12 March 1999, Munich, Germany}
}
@inproceedings{conf/iccad/LouCP99,
title = {Concurrent logic restructuring and placement for timing closure.},
year = {1999},
booktitle = {ICCAD},
author = {{Jinan Lou} and {Wei Chen} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999}
}
@inproceedings{conf/iccad/RezvaniAPS99,
title = {LEOPARD: a Logical Effort-based fanout OPtimizer for ARea and Delay.},
year = {1999},
booktitle = {ICCAD},
author = {{Peyman Rezvani} and {Amir H. Ajami} and {Massoud Pedram} and {Hamid Savoj}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999}
}
@inproceedings{conf/islped/MarculescuMP99,
title = {Non-stationary effects in trace-driven power analysis.},
year = {1999},
booktitle = {ISLPED},
author = {{Radu Marculescu} and {Diana Marculescu} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999, San Diego, California, USA, August 16-17, 1999}
}
@inproceedings{conf/islped/QiuWP99,
title = {Stochastic modeling of a power-managed system: construction and optimization.},
year = {1999},
booktitle = {ISLPED},
author = {{Qinru Qiu} and {Qing Wu 002} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999, San Diego, California, USA, August 16-17, 1999}
}
@inproceedings{conf/ispd/ChenHP99,
title = {Gate sizing with controlled displacement.},
year = {1999},
booktitle = {ISPD},
author = {{Wei Chen} and {Cheng-Ta Hsieh} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 1999 International Symposium on Physical Design, ISPD 1999, Monterey, CA, USA, April 12-14, 1999}
}
@article{journals/jcst/WuP99,
title = {Bounded algebra and current-mode digital circuits.},
year = {1999},
journal = {J. Comput. Sci. Technol.},
author = {{Xunwei Wu} and {Massoud Pedram}}
}
@article{journals/tcad/MarculescuMP99,
title = {Sequence compaction for power estimation: theory and practice.},
year = {1999},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Radu Marculescu} and {Diana Marculescu} and {Massoud Pedram}}
}
@article{journals/tcad/PedramP99,
title = {Interconnection analysis for standard cell layouts.},
year = {1999},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Massoud Pedram} and {Bryan Preas}}
}
@article{journals/tcad/SalekLP99,
title = {An integrated logical and physical design flow for deep submicron circuits.},
year = {1999},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Amir H. Salek} and {Jinan Lou} and {Massoud Pedram}}
}
@article{journals/tcad/VaishnavP99,
title = {Delay-optimal clustering targeting low-power VLSI circuits.},
year = {1999},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Hirendu Vaishnav} and {Massoud Pedram}}
}
@incollection{reference/vlsi/Pedram99,
title = {Power Simulation and Estimation in VLSI Circuits.},
year = {1999},
booktitle = {The VLSI Handbook},
author = {{Massoud Pedram}},
publisher = {CRC Press},
booktitle = {The VLSI Handbook.}
}
@inproceedings{conf/aspdac/PedramW00,
title = {Analysis of power-clocked CMOS with application to the design of energy-recovery circuits.},
year = {2000},
booktitle = {ASP-DAC},
author = {{Massoud Pedram} and {Xunwei Wu}},
publisher = {ACM},
booktitle = {Proceedings of ASP-DAC 2000, Asia and South Pacific Design Automation Conference 2000, Yokohama, Japan}
}
@inproceedings{conf/aspdac/WuQP00,
title = {An interleaved dual-battery power supply for battery-operated electronics.},
year = {2000},
booktitle = {ASP-DAC},
author = {{Qing Wu 002} and {Qinru Qiu} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of ASP-DAC 2000, Asia and South Pacific Design Automation Conference 2000, Yokohama, Japan}
}
@inproceedings{conf/aspdac/WuWPW00,
title = {Low-power design of sequential circuits using a quasi-synchronous derived clock.},
year = {2000},
booktitle = {ASP-DAC},
author = {{Xunwei Wu} and {Jian Wei} and {Massoud Pedram} and {Qing Wu 002}},
publisher = {ACM},
booktitle = {Proceedings of ASP-DAC 2000, Asia and South Pacific Design Automation Conference 2000, Yokohama, Japan}
}
@inproceedings{conf/cicc/HeydariP00,
title = {Analysis of jitter due to power-supply noise in phase-locked loops.},
year = {2000},
booktitle = {CICC},
author = {{Payam Heydari} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE 2000 Custom Integrated Circuits Conference, CICC 2000, Orlando, FL, USA, May 21-24, 2000}
}
@inproceedings{conf/dac/OuP00,
title = {Timing-driven placement based on partitioning with dynamic cut-net control.},
year = {2000},
booktitle = {DAC},
author = {{Shih-Lian T. Ou} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000.}
}
@inproceedings{conf/dac/QiuWP00,
title = {Dynamic power management of complex systems using generalized stochastic Petri nets.},
year = {2000},
booktitle = {DAC},
author = {{Qinru Qiu} and {Qing Wu 002} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000.}
}
@inproceedings{conf/date/HsiehP00,
title = {Architectural Power Optimization by Bus Splitting.},
year = {2000},
booktitle = {DATE},
author = {{Cheng-Ta Hsieh} and {Massoud Pedram}},
publisher = {IEEE Computer Society / ACM},
booktitle = {2000 Design, Automation and Test in Europe (DATE 2000), 27-30 March 2000, Paris, France}
}
@inproceedings{conf/iccad/ChenHP00,
title = {Simultaneous Gate Sizing and Fanout Optimization.},
year = {2000},
booktitle = {ICCAD},
author = {{Wei Chen} and {Cheng-Ta Hsieh} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}
}
@inproceedings{conf/iccd/HeydariP00,
title = {Analysis and Optimization of Ground Bounce in Digital CMOS Circuits.},
year = {2000},
booktitle = {ICCD},
author = {{Payam Heydari} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, ICCD '00, Austin, Texas, USA, September 17-20, 2000}
}
@inproceedings{conf/islped/ChengP00,
title = {Power-optimal encoding for DRAM address bus (poster session).},
year = {2000},
booktitle = {ISLPED},
author = {{Wei-Chung Cheng} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000, Rapallo, Italy, July 25-27, 2000}
}
@inproceedings{conf/islped/WuP00,
title = {Low power sequential circuit design by using priority encoding and clock gating.},
year = {2000},
booktitle = {ISLPED},
author = {{Xunwei Wu} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000, Rapallo, Italy, July 25-27, 2000}
}
@inproceedings{conf/ismvl/WuP00,
title = {Propagation Algorithm of Behavior Probability in Power Estimation Based on Multiple-Valued Logic.},
year = {2000},
booktitle = {ISMVL},
author = {{Xunwei Wu} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {30th IEEE International Symposium on Multiple-Valued Logic, ISMVL 2000, Portland, Oregon, USA, May 23-25, 2000, Proceedings}
}
@article{journals/tcad/CamposanoP00,
title = {Electronic design automation at the turn of the century: accomplishments and vision of the future.},
year = {2000},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Raul Camposano} and {Massoud Pedram}}
}
@article{journals/tcad/ChangP00,
title = {Codex-dp: co-design of communicating systems using dynamicprogramming.},
year = {2000},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Jui-Ming Chang} and {Massoud Pedram}}
}
@article{journals/tcad/ChenHP00,
title = {Simultaneous gate sizing and placement.},
year = {2000},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Wei Chen} and {Cheng-Ta Hsieh} and {Massoud Pedram}}
}
@article{journals/tcad/CocchiniP00,
title = {Fanout optimization using bipolar LT-trees.},
year = {2000},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Pasquale Cocchini} and {Massoud Pedram}}
}
@article{journals/todaes/MarculescuMP00,
title = {Stochastic sequential machine synthesis with application to constrained sequence generation.},
year = {2000},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Diana Marculescu} and {Radu Marculescu} and {Massoud Pedram}}
}
@article{journals/tvlsi/DingHP00,
title = {Improving the efficiency of Monte Carlo power estimation [VLSI].},
year = {2000},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Chih-Shun Ding} and {Cheng-Ta Hsieh} and {Massoud Pedram}}
}
@article{journals/tvlsi/MarculescuMP00,
title = {Theoretical bounds for switching activity analysis in finite-state machines.},
year = {2000},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Diana Marculescu} and {Radu Marculescu} and {Massoud Pedram}}
}
@inproceedings{conf/aspdac/AjamiP01,
title = {Post-layout timing-driven cell placement using an accurate net length model with movable Steiner points.},
year = {2001},
booktitle = {ASP-DAC},
author = {{Amir H. Ajami} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of ASP-DAC 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}
}
@inproceedings{conf/aspdac/ChengP01,
title = {Low power techniques for address encoding and memory allocation.},
year = {2001},
booktitle = {ASP-DAC},
author = {{Wei-Chung Cheng} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of ASP-DAC 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}
}
@inproceedings{conf/aspdac/HeydariP01,
title = {Balanced truncation with spectral shaping for RLC interconnects.},
year = {2001},
booktitle = {ASP-DAC},
author = {{Payam Heydari} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of ASP-DAC 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}
}
@inproceedings{conf/aspdac/Pedram01,
title = {Power optimization and management in embedded systems.},
year = {2001},
booktitle = {ASP-DAC},
author = {{Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of ASP-DAC 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}
}
@inproceedings{conf/cicc/AjamiPB01,
title = {Effects of non-uniform substrate temperature on the clock signal integrity in high performance designs.},
year = {2001},
booktitle = {CICC},
author = {{Amir H. Ajami} and {Massoud Pedram} and {Kaustav Banerjee}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE 2001 Custom Integrated Circuits Conference, CICC 2001, San Diego, CA, USA, May 6-9, 2001}
}
@inproceedings{conf/dac/AjamiBPG01,
title = {Analysis of Non-Uniform Temperature-Dependent Interconnect Performance in High Performance ICs.},
year = {2001},
booktitle = {DAC},
author = {{Amir H. Ajami} and {Kaustav Banerjee} and {Massoud Pedram} and {Lukas P. P. P. van Ginneken}},
publisher = {ACM},
booktitle = {Proceedings of the 38th Design Automation Conference, DAC 2001, Las Vegas, NV, USA, June 18-22, 2001}
}
@inproceedings{conf/dac/QiuWP01,
title = {Dynamic Power Management in a Mobile Multimedia System with Guaranteed Quality-of-Service.},
year = {2001},
booktitle = {DAC},
author = {{Qinru Qiu} and {Qing Wu 002} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 38th Design Automation Conference, DAC 2001, Las Vegas, NV, USA, June 18-22, 2001}
}
@inproceedings{conf/date/HsiehCP01,
title = {Microprocessor power analysis by labeled simulation.},
year = {2001},
booktitle = {DATE},
author = {{Cheng-Ta Hsieh} and {Lung-sheng Chen} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2001, Munich, Germany, March 12-16, 2001}
}
@inproceedings{conf/iccad/AjamiBP01,
title = {Analysis of Substrate Thermal Gradient Effects on Optimal Buffer Insertion.},
year = {2001},
booktitle = {ICCAD},
author = {{Amir H. Ajami} and {Kaustav Banerjee} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2001, San Jose, CA, USA, November 4-8, 2001}
}
@inproceedings{conf/iccad/HeydariP01,
title = {Model Reduction of Variable-Geometry Interconnects using Variational Spectrally-Weighted Balanced Truncation.},
year = {2001},
booktitle = {ICCAD},
author = {{Payam Heydari} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2001, San Jose, CA, USA, November 4-8, 2001}
}
@inproceedings{conf/iccd/HeydariP01,
title = {Analysis and Reduction of Capacitive Coupling Noise in High-Speed VLSI Circuits.},
year = {2001},
booktitle = {ICCD},
author = {{Payam Heydari} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {19th International Conference on Computer Design (ICCD 2001), VLSI in Computers and Processors, 23-26 September 2001, Austin, TX, USA, Proceedings}
}
@inproceedings{conf/iccd/HeydariP01a,
title = {Jitter-Induced Power/ground Noise in CMOS PLLs: A Design Perspective.},
year = {2001},
booktitle = {ICCD},
author = {{Payam Heydari} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {19th International Conference on Computer Design (ICCD 2001), VLSI in Computers and Processors, 23-26 September 2001, Austin, TX, USA, Proceedings}
}
@inproceedings{conf/islped/AghaghiriFP01,
title = {Irredundant address bus encoding for low power.},
year = {2001},
booktitle = {ISLPED},
author = {{Yazdan Aghaghiri} and {Farzan Fallah} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001, Huntington Beach, California, USA, 2001}
}
@inproceedings{conf/ispd/BanerjeePA01,
title = {Analysis and optimization of thermal issues in high-performance VLSI.},
year = {2001},
booktitle = {ISPD},
author = {{Kaustav Banerjee} and {Massoud Pedram} and {Amir H. Ajami}},
publisher = {ACM},
booktitle = {Proceedings of the 2001 International Symposium on Physical Design, ISPD 2001, Sonoma County, CA, USA, April 1-4, 2001}
}
@inproceedings{conf/isqed/ChengP01,
title = {Memory Bus Encoding for Low Power: A Tutorial.},
year = {2001},
booktitle = {ISQED},
author = {{Wei-Chung Cheng} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2nd International Symposium on Quality of Electronic Design (ISQED 2001), 26-28 March 2001, San Jose, CA, USA}
}
@article{journals/tcad/OhP01,
title = {Gated clock routing for low-power microprocessor design.},
year = {2001},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Jaewon Oh} and {Massoud Pedram}}
}
@article{journals/tcad/QiuQP01,
title = {Stochastic modeling of a power-managed system-construction andoptimization.},
year = {2001},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Qinru Qiu} and {Qing Qu} and {Massoud Pedram}}
}
@article{journals/tcad/VaishnavP01,
title = {Alphabetic trees-theory and applications in layout-driven logicsynthesis.},
year = {2001},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Hirendu Vaishnav} and {Massoud Pedram}}
}
@article{journals/tcad/WuQP01,
title = {Estimation of peak power dissipation in VLSI circuits using thelimiting distributions of extreme order statistics.},
year = {2001},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Qing Wu 002} and {Qinru Qiu} and {Massoud Pedram}}
}
@inproceedings{conf/cicc/HeydariAP02,
title = {A comprehensive study of energy dissipation in lossy transmission lines driven by CMOS inverters.},
year = {2002},
booktitle = {CICC},
author = {{Payam Heydari} and {Soroush Abbaspour} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE 2002 Custom Integrated Circuits Conference, CICC 2002, Orlando, FL, USA, May 12-15, 2002}
}
@inproceedings{conf/date/AghaghiriPF02,
title = {EZ Encoding: A Class of Irredundant Low Power Codes for Data Address and Multiplexed Address Buses.},
year = {2002},
booktitle = {DATE},
author = {{Yazdan Aghaghiri} and {Massoud Pedram} and {Farzan Fallah}},
publisher = {IEEE Computer Society},
booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France}
}
@inproceedings{conf/date/RezvaniP02,
title = {Concurrent and Selective Logic Extraction with Timing Consideration.},
year = {2002},
booktitle = {DATE},
author = {{Peyman Rezvani} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France}
}
@inproceedings{conf/iccad/ChoiDCP02,
title = {Frame-based dynamic voltage and frequency scaling for a MPEG decoder.},
year = {2002},
booktitle = {ICCAD},
author = {{Kihwan Choi} and {Karthik Dantu} and {Wei-Chung Cheng} and {Massoud Pedram}},
publisher = {ACM / IEEE Computer Society},
booktitle = {Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002}
}
@inproceedings{conf/islped/AbdollahiPF02,
title = {Runtime mechanisms for leakage current reduction in CMOS VLSI circuits1, 2.},
year = {2002},
booktitle = {ISLPED},
author = {{Afshin Abdollahi} and {Massoud Pedram} and {Farzan Fallah}},
publisher = {ACM},
booktitle = {Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002}
}
@inproceedings{conf/islped/AghaghiriPF02,
title = {Reducing transitions on memory buses using sector-based encoding technique.},
year = {2002},
booktitle = {ISLPED},
author = {{Yazdan Aghaghiri} and {Massoud Pedram} and {Farzan Fallah}},
publisher = {ACM},
booktitle = {Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002}
}
@inproceedings{conf/islped/MalekiDP02,
title = {Power-aware source routing protocol for mobile ad hoc networks.},
year = {2002},
booktitle = {ISLPED},
author = {{Morteza Maleki} and {Karthik Dantu} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002}
}
@inproceedings{conf/isqed/AghaghiriFP02,
title = {ALBORZ: Address Level Bus Power Optimization.},
year = {2002},
booktitle = {ISQED},
author = {{Yazdan Aghaghiri} and {Farzan Fallah} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {3rd International Symposium on Quality of Electronic Design, ISQED 2002, San Jose, CA, USA, March 18-21, 2002}
}
@inproceedings{conf/iwls/KangP02,
title = {Technology Mapping for Low Leakage Power with Hot-Carrier Effect Consideration.},
year = {2002},
booktitle = {IWLS},
author = {{Chang Woo Kang} and {Massoud Pedram}},
booktitle = {11th IEEE/ACM International Workshop on Logic & Synthesis, IWLS 2002, June 4-7, 2002, New Orleans, Louisiana, USA.}
}
@inproceedings{conf/vlsid/ChenPB02,
title = {Buffered Routing Tree Construction under Buffer Placement Blockages.},
year = {2002},
booktitle = {ASP-DAC/VLSI Design},
author = {{Wei Chen} and {Massoud Pedram} and {Premal Buch}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), and the 15th International Conference on VLSI Design (VLSI Design 2002), Bangalore, India, January 7-11, 2002}
}
@inproceedings{conf/vlsid/ChengLP02,
title = {Software-Only Bus Encoding Techniques for an Embedded System.},
year = {2002},
booktitle = {ASP-DAC/VLSI Design},
author = {{Wei-Chung Cheng} and {Jian-Lin Liang} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), and the 15th International Conference on VLSI Design (VLSI Design 2002), Bangalore, India, January 7-11, 2002}
}
@inproceedings{conf/vlsid/HeydariP02,
title = {Interconnect Energy Dissipation in High-Speed ULSI Circuits.},
year = {2002},
booktitle = {ASP-DAC/VLSI Design},
author = {{Payam Heydari} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), and the 15th International Conference on VLSI Design (VLSI Design 2002), Bangalore, India, January 7-11, 2002}
}
@article{journals/chinaf/WuHP02,
title = {Low power DCVSL circuits employing AC power supply.},
year = {2002},
journal = {Sci. China Ser. F Inf. Sci.},
author = {{Xunwei Wu} and {Guoqiang Hang} and {Massoud Pedram}}
}
@article{journals/jcsc/AghaghiriFP02,
title = {A Class of Irredundant Encoding Techniques for Reducing Bus Power.},
year = {2002},
journal = {J. Circuits Syst. Comput.},
author = {{Yazdan Aghaghiri} and {Farzan Fallah} and {Massoud Pedram}}
}
@article{journals/jcsc/ChengP02,
title = {Power-Aware Bus Encoding Techniques for I/O and Data Buses in an Embedded System.},
year = {2002},
journal = {J. Circuits Syst. Comput.},
author = {{Wei-Chung Cheng} and {Massoud Pedram}}
}
@article{journals/tcad/HsiehP02,
title = {Architectural energy optimization by bus splitting.},
year = {2002},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Cheng-Ta Hsieh} and {Massoud Pedram}}
}
@article{journals/tcad/SalekLP02,
title = {Hierarchical buffered routing tree generation.},
year = {2002},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Amir H. Salek} and {Jinan Lou} and {Massoud Pedram}}
}
@article{journals/tvlsi/ChengP02,
title = {Power-optimal encoding for a DRAM address bus.},
year = {2002},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Wei-Chung Cheng} and {Massoud Pedram}}
}
@article{journals/tvlsi/PedramW02,
title = {Battery-powered digital CMOS design.},
year = {2002},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Massoud Pedram} and {Qing Wu 002}}
}
@inproceedings{conf/aspdac/AbbaspourP03,
title = {Calculating the effective capacitance for the RC interconnect in VDSM technologies.},
year = {2003},
booktitle = {ASP-DAC},
author = {{Soroush Abbaspour} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, ASP-DAC '03, Kitakyushu, Japan, January 21-24, 2003}
}
@inproceedings{conf/aspdac/AghaghiriFP03,
title = {BEAM: bus encoding based on instruction-set-aware memories.},
year = {2003},
booktitle = {ASP-DAC},
author = {{Yazdan Aghaghiri} and {Farzan Fallah} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, ASP-DAC '03, Kitakyushu, Japan, January 21-24, 2003}
}
@inproceedings{conf/aspdac/IranliRP03,
title = {Low power synthesis of finite state machines with mixed D and T flip-flops.},
year = {2003},
booktitle = {ASP-DAC},
author = {{Ali Iranli} and {Peyman Rezvani} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, ASP-DAC '03, Kitakyushu, Japan, January 21-24, 2003}
}
@inproceedings{conf/aspdac/KangP03,
title = {Technology mapping for low leakage power and high speed with hot-carrier effect consideration.},
year = {2003},
booktitle = {ASP-DAC},
author = {{Chang Woo Kang} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, ASP-DAC '03, Kitakyushu, Japan, January 21-24, 2003}
}
@inproceedings{conf/dac/RongP03,
title = {Extending the lifetime of a network of battery-powered mobile devices by remote processing: a markovian decision-based approach.},
year = {2003},
booktitle = {DAC},
author = {{Peng Rong} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003}
}
@inproceedings{conf/estimedia/IranliCP03,
title = {Energy-Aware Wireless Video Streaming.},
year = {2003},
booktitle = {ESTIMedia},
author = {{Ali Iranli} and {Kihwan Choi} and {Massoud Pedram}},
booktitle = {First Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2003, October 3-4, 2003, Newport Beach, California, USA, co-located with CODES-ISSS 2003, Proceedings}
}
@inproceedings{conf/glvlsi/KangAP03,
title = {Buffer sizing for minimum energy-delay product by using an approximating polynomial.},
year = {2003},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Chang Woo Kang} and {Soroush Abbaspour} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, Washington, DC, USA, April 28-29, 2003}
}
@inproceedings{conf/iccad/IranliFP03,
title = {A Game Theoretic Approach to Dynamic Energy Minimization in Wireless Transceivers.},
year = {2003},
booktitle = {ICCAD},
author = {{Ali Iranli} and {Hanif Fatemi} and {Massoud Pedram}},
publisher = {IEEE Computer Society / ACM},
booktitle = {2003 International Conference on Computer-Aided Design, ICCAD 2003, San Jose, CA, USA, November 9-13, 2003}
}
@inproceedings{conf/iccd/AbddollahiPFG03,
title = {Precomputation-based Guarding for Dynamic and Leakage Power Reduction.},
year = {2003},
booktitle = {ICCD},
author = {{Afshin Abdollahi} and {Massoud Pedram} and {Farzan Fallah} and {Indradeep Ghosh}},
publisher = {IEEE Computer Society},
booktitle = {21st International Conference on Computer Design (ICCD 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings}
}
@inproceedings{conf/iccd/Ghasemi-TariRP03,
title = {An Energy-Aware Simulation Model and Transaction Protocol for Dynamic Workload Distribution in Mobile Ad Hoc Networks.},
year = {2003},
booktitle = {ICCD},
author = {{Farhad Ghasemi-Tari} and {Peng Rong} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {21st International Conference on Computer Design (ICCD 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings}
}
@inproceedings{conf/isqed/AbbaspourPH03,
title = {Optimizing the Energy-Delay-Ringing Product in On-Chip CMOS Line Drivers.},
year = {2003},
booktitle = {ISQED},
author = {{Soroush Abbaspour} and {Massoud Pedram} and {Payam Heydari}},
publisher = {IEEE Computer Society},
booktitle = {4th International Symposium on Quality of Electronic Design (ISQED 2003), 24-26 March 2003, San Jose, CA, USA}
}
@inproceedings{conf/isqed/AbdollahiFP03,
title = {Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains.},
year = {2003},
booktitle = {ISQED},
author = {{Afshin Abdollahi} and {Farzan Fallah} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {4th International Symposium on Quality of Electronic Design (ISQED 2003), 24-26 March 2003, San Jose, CA, USA}
}
@inproceedings{conf/wcnc/MalekiDP03,
title = {Lifetime prediction routing in mobile ad hoc networks.},
year = {2003},
booktitle = {WCNC},
author = {{Morteza Maleki} and {Karthik Dantu} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2003 IEEE Wireless Communications and Networking, WCNC 2003, New Orleans, LA, USA, 16-20 March, 2003}
}
@article{journals/tcad/RezvaniP03,
title = {A fanout optimization algorithm based on the effort delay model.},
year = {2003},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Peyman Rezvani} and {Massoud Pedram}}
}
@article{journals/tvlsi/HeydariP03,
title = {Ground bounce in digital VLSI circuits.},
year = {2003},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Payam Heydari} and {Massoud Pedram}}
}
@incollection{books/sp/04/ChengP04,
title = {Transmittance Scaling for Reducing Power Dissipation of a Backlit TFT-LCD.},
year = {2004},
booktitle = {Ultra Low-Power Electronics and Design},
author = {{Wei-Chung Cheng} and {Massoud Pedram}},
publisher = {Kluwer / Springer},
booktitle = {Ultra Low-Power Electronics and Design}
}
@inproceedings{conf/aspdac/AbbaspourP04,
title = {Gate delay calculation considering the crosstalk capacitances.},
year = {2004},
booktitle = {ASP-DAC},
author = {{Soroush Abbaspour} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}
}
@inproceedings{conf/aspdac/HwangP04,
title = {Interconnect design methods for memory design.},
year = {2004},
booktitle = {ASP-DAC},
author = {{Chanseok Hwang} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}
}
@inproceedings{conf/aspdac/KangIP04,
title = {Technology mapping and packing for coarse-grained, anti-fuse based FPGAs.},
year = {2004},
booktitle = {ASP-DAC},
author = {{Chang Woo Kang} and {Ali Iranli} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}
}
@inproceedings{conf/aspdac/ShimCP04,
title = {A compressed frame buffer to reduce display power consumption in mobile systems.},
year = {2004},
booktitle = {ASP-DAC},
author = {{Hojun Shim} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}
}
@inproceedings{conf/dac/ChoiSP04,
title = {Off-chip latency-driven dynamic voltage and frequency scaling for an MPEG decoding.},
year = {2004},
booktitle = {DAC},
author = {{Kihwan Choi} and {Ramakrishna Soma} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004}
}
@inproceedings{conf/date/ChengHP04,
title = {Power Minimization in a Backlit TFT-LCD Display by Concurrent Brightness and Contrast Scaling.},
year = {2004},
booktitle = {DATE},
author = {{Wei-Chung Cheng} and {Yu Hou} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France}
}
@inproceedings{conf/date/ChoiSP04,
title = {Fine-Grained Dynamic Voltage and Frequency Scaling for Precise Energy and Performance Trade-Off Based on the Ratio of Off-Chip Access to On-Chip Computation Times.},
year = {2004},
booktitle = {DATE},
author = {{Kihwan Choi} and {Ramakrishna Soma} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France}
}
@inproceedings{conf/date/IranliCP04,
title = {A Game Theoretic Approach to Low Energy Wireless Video Streaming.},
year = {2004},
booktitle = {DATE},
author = {{Ali Iranli} and {Kihwan Choi} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France}
}
@inproceedings{conf/date/MarculescuPH04,
title = {Distributed Multimedia System Design: A Holistic Perspective.},
year = {2004},
booktitle = {DATE},
author = {{Radu Marculescu} and {Massoud Pedram} and {Jörg Henkel}},
publisher = {IEEE Computer Society},
booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France}
}
@inproceedings{conf/glvlsi/AbbaspourAPT04,
title = {TFA: a threshold-based filtering algorithm for propagation delay and slew calculation of high-speed VLSI interconnects.},
year = {2004},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Soroush Abbaspour} and {Amir H. Ajami} and {Massoud Pedram} and {Emre Tuncer}},
publisher = {ACM},
booktitle = {Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, Boston, MA, USA, April 26-28, 2004}
}
@inproceedings{conf/iccad/ChoiLSP04,
title = {Dynamic voltage and frequency scaling under a precise energy model considering variable and fixed components of the system power dissipation.},
year = {2004},
booktitle = {ICCAD},
author = {{Kihwan Choi} and {Wonbok Lee} and {Ramakrishna Soma} and {Massoud Pedram}},
publisher = {IEEE Computer Society / ACM},
booktitle = {2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004}
}
@inproceedings{conf/islped/ChoiSP04,
title = {Dynamic voltage and frequency scaling based on workload decomposition.},
year = {2004},
booktitle = {ISLPED},
author = {{Kihwan Choi} and {Ramakrishna Soma} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004}
}
@inproceedings{conf/wcnc/MalekiP04,
title = {Lifetime-aware multicast routing in wireless ad hoc networks.},
year = {2004},
booktitle = {WCNC},
author = {{Morteza Maleki} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2004 IEEE Wireless Communications and Networking Conference , WCNC 2004, Atlanta, Georgia, USA, 21-25 March 2004}
}
@article{journals/dt/ShimCP04,
title = {A Backlight Power Management Framework for Battery-Operated Multimedia Systems.},
year = {2004},
journal = {IEEE Des. Test Comput.},
author = {{Hojun Shim} and {Naehyuck Chang} and {Massoud Pedram}}
}
@article{journals/tcad/AghaghiriFP04,
title = {Transition reduction in memory buses using sector-based encoding techniques.},
year = {2004},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Yazdan Aghaghiri} and {Farzan Fallah} and {Massoud Pedram}}
}
@article{journals/tcas/HeydariAP04,
title = {Interconnect energy dissipation in high-speed ULSI circuits.},
year = {2004},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Payam Heydari} and {Soroush Abbaspour} and {Massoud Pedram}}
}
@article{journals/tce/ChengP04,
title = {Power minimization in a backlit TFT-LCD display by concurrent brightness and contrast scaling.},
year = {2004},
journal = {IEEE Trans. Consumer Electron.},
author = {{Wei-Chung Cheng} and {Massoud Pedram}}
}
@article{journals/tce/ChengP04a,
title = {Chromatic encoding: a low power encoding technique for digital visual interface.},
year = {2004},
journal = {IEEE Trans. Consumer Electron.},
author = {{Wei-Chung Cheng} and {Massoud Pedram}}
}
@article{journals/tvlsi/AbdollahiFP04,
title = {Leakage current reduction in CMOS VLSI circuits by input vector control.},
year = {2004},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Afshin Abdollahi} and {Farzan Fallah} and {Massoud Pedram}}
}
@inproceedings{conf/aspdac/HwangP05,
title = {PMP: performance-driven multilevel partitioning by aggregating the preferred signal directions of I/O conduits.},
year = {2005},
booktitle = {ASP-DAC},
author = {{Chanseok Hwang} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005}
}
@inproceedings{conf/aspdac/KangP05,
title = {Clustering techniques for coarse-grained, antifuse FPGAs.},
year = {2005},
booktitle = {ASP-DAC},
author = {{Chang Woo Kang} and {Massoud Pedram}},
publisher = {ACM Press},
booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005}
}
@inproceedings{conf/dac/AbdollahiFP05,
title = {An effective power mode transition technique in MTCMOS circuits.},
year = {2005},
booktitle = {DAC},
author = {{Afshin Abdollahi} and {Farzan Fallah} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005}
}
@inproceedings{conf/dac/AbdollahiP05,
title = {A new canonical form for fast boolean matching in logic synthesis and verification.},
year = {2005},
booktitle = {DAC},
author = {{Afshin Abdollahi} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005}
}
@inproceedings{conf/dac/IranliP05,
title = {DTM: dynamic tone mapping for backlight scaling.},
year = {2005},
booktitle = {DAC},
author = {{Ali Iranli} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005}
}
@inproceedings{conf/date/IranliFP05,
title = {HEBS: Histogram Equalization for Backlight Scaling.},
year = {2005},
booktitle = {DATE},
author = {{Ali Iranli} and {Hanif Fatemi} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany}
}
@inproceedings{conf/date/NazarianPTLA05,
title = {Modeling and Propagation of Noisy Waveforms in Static Timing Analysis.},
year = {2005},
booktitle = {DATE},
author = {{Shahin Nazarian} and {Massoud Pedram} and {Emre Tuncer} and {Tao Lin} and {Amir H. Ajami}},
publisher = {IEEE Computer Society},
booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany}
}
@inproceedings{conf/glvlsi/AbbaspourFP05,
title = {VITA: variation-aware interconnect timing analysis for symmetric and skewed sources of variation considering variational ramp input.},
year = {2005},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Soroush Abbaspour} and {Hanif Fatemi} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, Chicago, Illinois, USA, April 17-19, 2005}
}
@inproceedings{conf/glvlsi/NazarianPT05,
title = {An empirical study of crosstalk in VDSM technologies.},
year = {2005},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Shahin Nazarian} and {Massoud Pedram} and {Emre Tuncer}},
publisher = {ACM},
booktitle = {Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, Chicago, Illinois, USA, April 17-19, 2005}
}
@inproceedings{conf/iccd/AbbaspourFP05,
title = {VGTA: Variation Aware Gate Timing Analysis.},
year = {2005},
booktitle = {ICCD},
author = {{Soroush Abbaspour} and {Hanif Fatemi} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {23rd International Conference on Computer Design (ICCD 2005), 2-5 October 2005, San Jose, CA, USA}
}
@inproceedings{conf/ipsn/IranliFP05,
title = {Lifetime-aware intrusion detection under safeguarding constraints.},
year = {2005},
booktitle = {IPSN},
author = {{Ali Iranli} and {Hanif Fatemi} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the Fourth International Symposium on Information Processing in Sensor Networks, IPSN 2005, April 25-27, 2005, UCLA, Los Angeles, California, USA}
}
@inproceedings{conf/ipsn/MalekiP05,
title = {QoM and lifetime-constrained random deployment of sensor networks for minimum energy consumption.},
year = {2005},
booktitle = {IPSN},
author = {{Morteza Maleki} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the Fourth International Symposium on Information Processing in Sensor Networks, IPSN 2005, April 25-27, 2005, UCLA, Los Angeles, California, USA}
}
@inproceedings{conf/islped/AmelifardFP05,
title = {Low-power fanout optimization using multiple threshold voltage inverters.},
year = {2005},
booktitle = {ISLPED},
author = {{Behnam Amelifard} and {Farzan Fallah} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005}
}
@inproceedings{conf/islped/IranliMP05,
title = {Energy efficient strategies for deployment of a two-level wireless sensor network.},
year = {2005},
booktitle = {ISLPED},
author = {{Ali Iranli} and {Morteza Maleki} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005}
}
@inproceedings{conf/islped/RongP05,
title = {Hierarchical power management with application to scheduling.},
year = {2005},
booktitle = {ISLPED},
author = {{Peng Rong} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005}
}
@inproceedings{conf/isqed/AbdollahiFP05,
title = {Analysis and Optimization of Static Power Considering Transition Dependency of Leakage Current in VLSI Circuits.},
year = {2005},
booktitle = {ISQED},
author = {{Afshin Abdollahi} and {Farzan Fallah} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {6th International Symposium on Quality of Electronic Design (ISQED 2005), 21-23 March 2005, San Jose, CA, USA}
}
@inproceedings{conf/isqed/AmelifardFP05,
title = {Closing the Gap between Carry Select Adder and Ripple Carry Adder: A New Class Closing the Gap between Carry Select Adder and Ripple Carry Adder: A New Class of Low-Power High-Performance Adders.},
year = {2005},
booktitle = {ISQED},
author = {{Behnam Amelifard} and {Farzan Fallah} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {6th International Symposium on Quality of Electronic Design (ISQED 2005), 21-23 March 2005, San Jose, CA, USA}
}
@inproceedings{conf/isqed/NazarianPTL05,
title = {Sensitivity-Based Gate Delay Propagation in Static Timing Analysis.},
year = {2005},
booktitle = {ISQED},
author = {{Shahin Nazarian} and {Massoud Pedram} and {Emre Tuncer} and {Tao Lin}},
publisher = {IEEE Computer Society},
booktitle = {6th International Symposium on Quality of Electronic Design (ISQED 2005), 21-23 March 2005, San Jose, CA, USA}
}
@article{journals/ieicet/FallahP05,
title = {Standby and Active Leakage Current Control and Minimization in CMOS VLSI Circuits.},
year = {2005},
journal = {IEICE Trans. Electron.},
author = {{Farzan Fallah} and {Massoud Pedram}}
}
@article{journals/jolpe/ChoiCP05,
title = {Frame-Based Dynamic Voltage and Frequency Scaling for an MPEG Player.},
year = {2005},
journal = {J. Low Power Electron.},
author = {{Kihwan Choi} and {Wei-Chung Cheng} and {Massoud Pedram}}
}
@article{journals/jolpe/ChoiKP05,
title = {Energy-Aware MPEG-4 FGS Streaming.},
year = {2005},
journal = {J. Low Power Electron.},
author = {{Kihwan Choi} and {Kwanho Kim} and {Massoud Pedram}}
}
@article{journals/jolpe/KangP05,
title = {A Leakage-aware Low Power Technology Mapping Algorithm Considering the Hot-Carrier Effect.},
year = {2005},
journal = {J. Low Power Electron.},
author = {{Chang Woo Kang} and {Massoud Pedram}}
}
@article{journals/tcad/AjamiBP05,
title = {Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects.},
year = {2005},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Amir H. Ajami} and {Kaustav Banerjee} and {Massoud Pedram}}
}
@article{journals/tcad/ChoiSP05,
title = {Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times.},
year = {2005},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Kihwan Choi} and {Ramakrishna Soma} and {Massoud Pedram}}
}
@article{journals/tcad/HeydariP05,
title = {Capacitive coupling noise in high-speed VLSI circuits.},
year = {2005},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Payam Heydari} and {Massoud Pedram}}
}
@inproceedings{conf/aspdac/AbbaspourFP06,
title = {Parameterized block-based non-gaussian statistical gate timing analysis.},
year = {2006},
booktitle = {ASP-DAC},
author = {{Soroush Abbaspour} and {Hanif Fatemi} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006}
}
@inproceedings{conf/aspdac/HwangP06,
title = {Timing-driven placement based on monotone cell ordering constraints.},
year = {2006},
booktitle = {ASP-DAC},
author = {{Chanseok Hwang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006}
}
@inproceedings{conf/aspdac/NazarianPLT06,
title = {CGTA: current gain-based timing analysis for logic cells.},
year = {2006},
booktitle = {ASP-DAC},
author = {{Shahin Nazarian} and {Massoud Pedram} and {Tao Lin} and {Emre Tuncer}},
publisher = {IEEE},
booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006}
}
@inproceedings{conf/aspdac/RongP06,
title = {Power-aware scheduling and dynamic voltage setting for tasks running on a hard real-time system.},
year = {2006},
booktitle = {ASP-DAC},
author = {{Peng Rong} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006}
}
@inproceedings{conf/codes/LeePP06,
title = {B2Sim: : a fast micro-architecture simulator based on basic block characterization.},
year = {2006},
booktitle = {CODES+ISSS},
author = {{Wonbok Lee} and {Kimish Patel} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2006, Seoul, Korea, October 22-25, 2006}
}
@inproceedings{conf/dac/FatemiNP06,
title = {Statistical logic cell delay analysis using a current-based model.},
year = {2006},
booktitle = {DAC},
author = {{Hanif Fatemi} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006}
}
@inproceedings{conf/dac/IranliLP06,
title = {Backlight dimming in power-aware mobile displays.},
year = {2006},
booktitle = {DAC},
author = {{Ali Iranli} and {Wonbok Lee} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006}
}
@inproceedings{conf/dac/PakbazniaFP06,
title = {Charge recycling in MTCMOS circuits: concept and analysis.},
year = {2006},
booktitle = {DAC},
author = {{Ehsan Pakbaznia} and {Farzan Fallah} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006}
}
@inproceedings{conf/date/AbbaspourFP06,
title = {Non-gaussian statistical interconnect timing analysis.},
year = {2006},
booktitle = {DATE},
author = {{Soroush Abbaspour} and {Hanif Fatemi} and {Massoud Pedram}},
publisher = {European Design and Automation Association, Leuven, Belgium},
booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006}
}
@inproceedings{conf/date/AbdollahiP06,
title = {Analysis and synthesis of quantum circuits by using quantum decision diagrams.},
year = {2006},
booktitle = {DATE},
author = {{Afshin Abdollahi} and {Massoud Pedram}},
publisher = {European Design and Automation Association, Leuven, Belgium},
booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006}
}
@inproceedings{conf/date/AmelifardFP06,
title = {Reducing the sub-threshold and gate-tunneling leakage of SRAM cells using Dual-Vt and Dual-Tox assignment.},
year = {2006},
booktitle = {DATE},
author = {{Behnam Amelifard} and {Farzan Fallah} and {Massoud Pedram}},
publisher = {European Design and Automation Association, Leuven, Belgium},
booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006}
}
@inproceedings{conf/date/MaciiPFADZ06,
title = {Low-power design tools: are EDA vendors taking this matter seriously?},
year = {2006},
booktitle = {DATE},
author = {{Enrico Macii} and {Massoud Pedram} and {Dirk Friebel} and {Robert C. Aitken} and {Antun Domic} and {Roberto Zafalon}},
publisher = {European Design and Automation Association, Leuven, Belgium},
booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006}
}
@inproceedings{conf/date/NazarianP06,
title = {Cell delay analysis based on rate-of-current change.},
year = {2006},
booktitle = {DATE},
author = {{Shahin Nazarian} and {Massoud Pedram}},
publisher = {European Design and Automation Association, Leuven, Belgium},
booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006}
}
@inproceedings{conf/date/NazarianPGB06,
title = {STAX: statistical crosstalk target set compaction.},
year = {2006},
booktitle = {DATE Designers' Forum},
author = {{Shahin Nazarian} and {Massoud Pedram} and {Sandeep K. Gupta 001} and {Melvin A. Breuer}},
publisher = {European Design and Automation Association, Leuven, Belgium},
booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, DATE 2006, Munich, Germany, March 6-10, 2006}
}
@inproceedings{conf/date/RongP06,
title = {Determining the optimal timeout values for a power-managed system based on the theory of Markovian processes: offline and online algorithms.},
year = {2006},
booktitle = {DATE},
author = {{Peng Rong} and {Massoud Pedram}},
publisher = {European Design and Automation Association, Leuven, Belgium},
booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006}
}
@inproceedings{conf/glvlsi/FatemiAPAT06,
title = {SACI: statistical static timing analysis of coupled interconnects.},
year = {2006},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Hanif Fatemi} and {Soroush Abbaspour} and {Massoud Pedram} and {Amir H. Ajami} and {Emre Tuncer}},
publisher = {ACM},
booktitle = {Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30 - May 1, 2006}
}
@inproceedings{conf/glvlsi/KangP06,
title = {Low-power clustering with minimum logic replication for coarse-grained, antifuse based FPGAs.},
year = {2006},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Chang Woo Kang} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30 - May 1, 2006}
}
@inproceedings{conf/glvlsi/NazarianIP06,
title = {Crosstalk analysis in nanometer technologies.},
year = {2006},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Shahin Nazarian} and {Ali Iranli} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30 - May 1, 2006}
}
@inproceedings{conf/iccad/NajibiSAPFP06,
title = {Dynamic voltage and frequency management based on variable update intervals for frequency setting.},
year = {2006},
booktitle = {ICCAD},
author = {{Mehrdad Najibi} and {Mostafa E. Salehi} and {Ali Afzali-Kusha} and {Massoud Pedram} and {Seid Mehdi Fakhraie} and {Hossein Pedram}},
publisher = {ACM},
booktitle = {2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006}
}
@inproceedings{conf/iccd/JungP06,
title = {Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach.},
year = {2006},
booktitle = {ICCD},
author = {{Hwisung Jung} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {24th International Conference on Computer Design (ICCD 2006), 1-4 October 2006, San Jose, CA, USA}
}
@inproceedings{conf/islped/AmelifardFP06,
title = {Low-power fanout optimization using MTCMOS and multi-Vt techniques.},
year = {2006},
booktitle = {ISLPED},
author = {{Behnam Amelifard} and {Farzan Fallah} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006}
}
@inproceedings{conf/islped/LeePP06,
title = {Dynamic thermal management for MPEG-2 decoding.},
year = {2006},
booktitle = {ISLPED},
author = {{Wonbok Lee} and {Kimish Patel} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006}
}
@inproceedings{conf/isqed/AmelifardPF06,
title = {Low-leakage SRAM Design with Dual V_t Transistors.},
year = {2006},
booktitle = {ISQED},
author = {{Behnam Amelifard} and {Massoud Pedram} and {Farzan Fallah}},
publisher = {IEEE Computer Society},
booktitle = {7th International Symposium on Quality of Electronic Design (ISQED 2006), 27-29 March 2006, San Jose, CA, USA}
}
@inproceedings{conf/isqed/HwangKP06,
title = {Gate Sizing and Replication to Minimize the Effects of Virtual Ground Parasitic Resistances in MTCMOS Designs.},
year = {2006},
booktitle = {ISQED},
author = {{Chanseok Hwang} and {Chang Woo Kang} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {7th International Symposium on Quality of Electronic Design (ISQED 2006), 27-29 March 2006, San Jose, CA, USA}
}
@article{journals/pieee/PedramN06,
title = {Thermal Modeling, Analysis, and Management in VLSI Circuits: Principles and Methods.},
year = {2006},
journal = {Proc. IEEE},
author = {{Massoud Pedram} and {Shahin Nazarian}}
}
@article{journals/tcad/IranliP06,
title = {Cycle-Based Decomposition of Markov Chains With Applications to Low-Power Synthesis and Sequence Compaction for Finite State Machines.},
year = {2006},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Ali Iranli} and {Massoud Pedram}}
}
@article{journals/tcad/RongP06,
title = {Battery-aware power management based on Markovian decision processes.},
year = {2006},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Peng Rong} and {Massoud Pedram}}
}
@article{journals/tcas/HeydariP06,
title = {Model-order reduction using variational balanced truncation with spectral shaping.},
year = {2006},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Payam Heydari} and {Massoud Pedram}}
}
@article{journals/todaes/Pedram06,
title = {Introduction to special issue: Novel paradigms in system-level design.},
year = {2006},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Massoud Pedram}}
}
@article{journals/tvlsi/AbbaspourPAK06,
title = {Fast Interconnect and Gate Timing Analysis for Performance Optimization.},
year = {2006},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Soroush Abbaspour} and {Massoud Pedram} and {Amir H. Ajami} and {Chandramouli V. Kashyap}}
}
@article{journals/tvlsi/IranliLP06,
title = {HVS-Aware Dynamic Backlight Scaling in TFT-LCDs.},
year = {2006},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Ali Iranli} and {Wonbok Lee} and {Massoud Pedram}}
}
@article{journals/tvlsi/RongP06,
title = {An Analytical Model for Predicting the Remaining Battery Capacity of Lithium-Ion Batteries.},
year = {2006},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Peng Rong} and {Massoud Pedram}}
}
@inproceedings{conf/aspdac/FatemiNP07,
title = {A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms.},
year = {2007},
booktitle = {ASP-DAC},
author = {{Hanif Fatemi} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007}
}
@inproceedings{conf/aspdac/JungHP07,
title = {Flow-Through-Queue based Power Management for Gigabit Ethernet Controller.},
year = {2007},
booktitle = {ASP-DAC},
author = {{Hwisung Jung} and {Andy Hwang} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007}
}
@inproceedings{conf/dac/AmelifardP07,
title = {Optimal Selection of Voltage Regulator Modules in a Power Delivery Network.},
year = {2007},
booktitle = {DAC},
author = {{Behnam Amelifard} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the 44th Design Automation Conference, DAC 2007, San Diego, CA, USA, June 4-8, 2007}
}
@inproceedings{conf/date/JungP07,
title = {Dynamic power management under uncertain information.},
year = {2007},
booktitle = {DATE},
author = {{Hwisung Jung} and {Massoud Pedram}},
publisher = {EDA Consortium, San Jose, CA, USA},
booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007}
}
@inproceedings{conf/dsd/Mirza-AghatabarKHP07,
title = {An Empirical Investigation of Mesh and Torus NoC Topologies Under Different Routing Algorithms and Traffic Models.},
year = {2007},
booktitle = {DSD},
author = {{Mohammad Mirza-Aghatabar} and {Somayyeh Koohi} and {Shaahin Hessabi} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Tenth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2007), 29-31 August 2007, Lübeck, Germany}
}
@inproceedings{conf/glvlsi/HwangRP07,
title = {Sleep transistor distribution in row-based MTCMOS designs.},
year = {2007},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Chanseok Hwang} and {Peng Rong} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007}
}
@inproceedings{conf/glvlsi/PatelLP07,
title = {Active bank switching for temperature control of the register file in a microprocessor.},
year = {2007},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Kimish Patel} and {Wonbok Lee} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007}
}
@inproceedings{conf/iccad/PakbazniaFP07,
title = {Sizing and placement of charge recycling transistors in MTCMOS circuits.},
year = {2007},
booktitle = {ICCAD},
author = {{Ehsan Pakbaznia} and {Farzan Fallah} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007}
}
@inproceedings{conf/islped/AmelifardP07,
title = {Design of an efficient power delivery network in an soc to enable dynamic power management.},
year = {2007},
booktitle = {ISLPED},
author = {{Behnam Amelifard} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007}
}
@inproceedings{conf/islped/FatemiAP07,
title = {Power optimal MTCMOS repeater insertion for global buses.},
year = {2007},
booktitle = {ISLPED},
author = {{Hanif Fatemi} and {Behnam Amelifard} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007}
}
@inproceedings{conf/islped/PatelLP07,
title = {Minimizing power dissipation during write operation to register files.},
year = {2007},
booktitle = {ISLPED},
author = {{Kimish Patel} and {Wonbok Lee} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007}
}
@inproceedings{conf/isqed/JungP07,
title = {A Unified Framework for System-Level Design: Modeling and Performance Optimization of Scalable Networking Systems.},
year = {2007},
booktitle = {ISQED},
author = {{Hwisung Jung} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA}
}
@article{journals/computer/BaharHHJLMOP07,
title = {Architectures for Silicon Nanoelectronics and Beyond.},
year = {2007},
journal = {Computer},
author = {{R. Iris Bahar} and {Dan W. Hammerstrom} and {Justin E. Harlow III} and {William H. Joyner Jr.} and {Clifford Lau} and {Diana Marculescu} and {Alex Orailoglu} and {Massoud Pedram}}
}
@article{journals/iet-cdt/Parandeh-AfsharSAP07,
title = {Fast INC-XOR codec for low-power address buses.},
year = {2007},
journal = {IET Comput. Digit. Tech.},
author = {{Hadi Parandeh-Afshar} and {Mohsen Saneei} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tcad/AbbaspourFP07,
title = {Parameterized Non-Gaussian Variational Gate Timing Analysis.},
year = {2007},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Soroush Abbaspour} and {Hanif Fatemi} and {Massoud Pedram}}
}
@article{journals/tcad/KangIP07,
title = {A Synthesis Approach for Coarse-Grained Antifuse-Based FPGAs.},
year = {2007},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Chang Woo Kang} and {Ali Iranli} and {Massoud Pedram}}
}
@article{journals/todaes/LimP07,
title = {Introduction to special issue on demonstrable software systems and hardware platforms.},
year = {2007},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Sung Kyu Lim} and {Massoud Pedram}}
}
@article{journals/tvlsi/AbdollahiFP07,
title = {A Robust Power Gating Structure and Power Mode Transition Strategy for MTCMOS Design.},
year = {2007},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Afshin Abdollahi} and {Farzan Fallah} and {Massoud Pedram}}
}
@inproceedings{conf/aspdac/JungP08,
title = {A stochastic local hot spot alerting technique.},
year = {2008},
booktitle = {ASP-DAC},
author = {{Hwisung Jung} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008}
}
@inproceedings{conf/dac/JungRP08,
title = {Stochastic modeling of a thermally-managed multi-core system.},
year = {2008},
booktitle = {DAC},
author = {{Hwisung Jung} and {Peng Rong} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008}
}
@inproceedings{conf/date/AmelifardHFP08,
title = {A Current Source Model for CMOS Logic Cells Considering Multiple Input Switching and Stack Effect.},
year = {2008},
booktitle = {DATE},
author = {{Behnam Amelifard} and {Safar Hatami} and {Hanif Fatemi} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008}
}
@inproceedings{conf/date/JungP08,
title = {Resilient Dynamic Power Management under Uncertainty.},
year = {2008},
booktitle = {DATE},
author = {{Hwisung Jung} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008}
}
@inproceedings{conf/date/PakbazniaP08,
title = {Coarse-Grain MTCMOS Sleep Transistor Sizing Using Delay Budgeting.},
year = {2008},
booktitle = {DATE},
author = {{Ehsan Pakbaznia} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008}
}
@inproceedings{conf/glvlsi/AbrishamiHAP08,
title = {NBTI-aware flip-flop characterization and design.},
year = {2008},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Hamed Abrishami} and {Safar Hatami} and {Behnam Amelifard} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008}
}
@inproceedings{conf/glvlsi/HatamiAP08,
title = {Statistical timing analysis of flip-flops considering codependent setup and hold times.},
year = {2008},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Safar Hatami} and {Hamed Abrishami} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008}
}
@inproceedings{conf/glvlsi/PatelLP08,
title = {In-order pulsed charge recycling in off-chip data buses.},
year = {2008},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Kimish Patel} and {Wonbok Lee} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008}
}
@inproceedings{conf/iccad/GhasemazarP08,
title = {Minimizing the energy cost of throughput in a linear pipeline by opportunistic time borrowing.},
year = {2008},
booktitle = {ICCAD},
author = {{Mohammad Ghasemazar} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008}
}
@inproceedings{conf/iccd/AbrishamiHP08,
title = {Characterization and design of sequential circuit elements to combat soft error.},
year = {2008},
booktitle = {ICCD},
author = {{Hamed Abrishami} and {Safar Hatami} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {26th International Conference on Computer Design, ICCD 2008, 12-15 October 2008, Lake Tahoe, CA, USA, Proceedings}
}
@inproceedings{conf/iccd/MohyuddinPP08,
title = {Probabilistic error propagation in logic circuits using the Boolean difference calculus.},
year = {2008},
booktitle = {ICCD},
author = {{Nasir Mohyuddin} and {Ehsan Pakbaznia} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {26th International Conference on Computer Design, ICCD 2008, 12-15 October 2008, Lake Tahoe, CA, USA, Proceedings}
}
@inproceedings{conf/islped/GhasemazarAP08,
title = {A mathematical solution to power optimal pipeline design by utilizing soft edge flip-flops.},
year = {2008},
booktitle = {ISLPED},
author = {{Mohammad Ghasemazar} and {Behnam Amelifard} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008, Bangalore, India, August 11-13, 2008}
}
@inproceedings{conf/isqed/JungP08,
title = {Improving the Efficiency of Power Management Techniques by Using Bayesian Classification.},
year = {2008},
booktitle = {ISQED},
author = {{Hwisung Jung} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA}
}
@inproceedings{conf/iswpc/SoltanHP08,
title = {Modulation-aware energy balancing in hierarchical wireless sensor networks.},
year = {2008},
booktitle = {ISWPC},
author = {{Maryam Soltan} and {Inkwon Hwang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Third International Symposium on Wireless Pervasive Computing, ISWPC 2008, May 7-9, 2008, Santorini, Greece, Proceedings.}
}
@inproceedings{conf/vlsid/JungP08,
title = {Continuous Frequency Adjustment Technique Based on Dynamic Workload Prediction.},
year = {2008},
booktitle = {VLSI Design},
author = {{Hwisung Jung} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {21st International Conference on VLSI Design (VLSI Design 2008), 4-8 January 2008, Hyderabad, India}
}
@inproceedings{conf/vlsid/KoohiMHP08,
title = {High-Level Modeling Approach for Analyzing the Effects of Traffic Models on Power and Throughput in Mesh-Based NoCs.},
year = {2008},
booktitle = {VLSI Design},
author = {{Somayyeh Koohi} and {Mohammad Mirza-Aghatabar} and {Shaahin Hessabi} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {21st International Conference on VLSI Design (VLSI Design 2008), 4-8 January 2008, Hyderabad, India}
}
@inproceedings{conf/wowmom/SoltanHP08,
title = {Heterogeneous modulation for trading-off energy balancing with bandwidth efficiency in hierarchical sensor networks.},
year = {2008},
booktitle = {WOWMOM},
author = {{Maryam Soltan} and {Inkwon Hwang} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {9th IEEE International Symposium on a World of Wireless, Mobile and Multimedia Networks, WOWMOM 2008, Newport Beach, CA, USA, 23-26 June, 2008}
}
@article{journals/jolpe/RongP08,
title = {Energy-Aware Task Scheduling and Dynamic Voltage Scaling in a Real-Time System.},
year = {2008},
journal = {J. Low Power Electron.},
author = {{Peng Rong} and {Massoud Pedram}}
}
@article{journals/tcad/AbdollahiP08,
title = {Symmetry Detection and Boolean Matching Utilizing a Signature-Based Canonical Form of Boolean Functions.},
year = {2008},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Afshin Abdollahi} and {Massoud Pedram}}
}
@article{journals/tcad/PakbazniaFP08,
title = {Charge Recycling in Power-Gated CMOS Circuits.},
year = {2008},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Ehsan Pakbaznia} and {Farzan Fallah} and {Massoud Pedram}}
}
@article{journals/todaes/AbbasianHAP08,
title = {Wavelet-based dynamic power management for nonstationary service requests.},
year = {2008},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Ali Abbasian} and {Safar Hatami} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/todaes/Pedram08,
title = {Editorial.},
year = {2008},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Massoud Pedram}}
}
@article{journals/tvlsi/AmelifardFP08,
title = {Leakage Minimization of SRAM Cells in a Dual-Vt and Dual-Tox Technology.},
year = {2008},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Behnam Amelifard} and {Farzan Fallah} and {Massoud Pedram}}
}
@article{journals/tvlsi/LeePP08,
title = {GOP-Level Dynamic Thermal Management in MPEG-2 Decoding.},
year = {2008},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Wonbok Lee} and {Kimish Patel} and {Massoud Pedram}}
}
@inproceedings{conf/ccnc/SoltanP10,
title = {Durability of Wireless Networks of Battery-Powered Devices.},
year = {2009},
booktitle = {CCNC},
author = {{Maryam Soltan} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {6th IEEE Consumer Communications and Networking Conference, CCNC 2009, Las Vegas, NV, USA, 10-13 Jan., 2009}
}
@inproceedings{conf/date/HatamiFAP09,
title = {Efficient compression and handling of current source model library waveforms.},
year = {2009},
booktitle = {DATE},
author = {{Safar Hatami} and {Peter Feldmann} and {Soroush Abbaspour} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Design, Automation and Test in Europe, DATE 2009, Nice, France, April 20-24, 2009}
}
@inproceedings{conf/glvlsi/Pedram09,
title = {Green computing: reducing energy cost and carbon footprint of information processing systems.},
year = {2009},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009}
}
@inproceedings{conf/iccd/MohyuddinPP09,
title = {Deterministic clock gating to eliminate wasteful activity due to wrong-path instructions in out-of-order superscalar processors.},
year = {2009},
booktitle = {ICCD},
author = {{Nasir Mohyuddin} and {Kimish Patel} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {27th International Conference on Computer Design, ICCD 2009, Lake Tahoe, CA, USA, October 4-7, 2009}
}
@inproceedings{conf/islped/PakbazniaP09,
title = {Minimizing data center cooling and server power costs.},
year = {2009},
booktitle = {ISLPED},
author = {{Ehsan Pakbaznia} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009}
}
@inproceedings{conf/isqed/PakbazniaP09,
title = {Design and application of multimodal power gating structures.},
year = {2009},
booktitle = {ISQED},
author = {{Ehsan Pakbaznia} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {10th International Symposium on Quality of Electronic Design (ISQED 2009), 16-18 March 2009, San Jose, CA, USA}
}
@inproceedings{conf/vlsid/RahmaniDASP09,
title = {Forecasting-Based Dynamic Virtual Channels Allocation for Power Optimization of Network-on-Chips.},
year = {2009},
booktitle = {VLSI Design},
author = {{Amir-Mohammad Rahmani} and {Masoud Daneshtalab} and {Ali Afzali-Kusha} and {Saeed Safari} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {VLSI Design 2009: Improving Productivity through Higher Abstraction, The 22nd International Conference on VLSI Design, New Delhi, India, 5-9 January 2009}
}
@article{journals/jolpe/RahmaniAP09,
title = {A Novel Synthetic Traffic Pattern for Power/Performance Analysis of Network-on-Chips Using Negative Exponential Distribution.},
year = {2009},
journal = {J. Low Power Electron.},
author = {{Amir-Mohammad Rahmani} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/jolpe/RahmaniDAP09,
title = {Forecasting-Based Dynamic Virtual Channel Management for Power Reduction in Network-on-Chips.},
year = {2009},
journal = {J. Low Power Electron.},
author = {{Amir-Mohammad Rahmani} and {Masoud Daneshtalab} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tcad/AmelifardFP09,
title = {Low-Power Fanout Optimization Using Multi Threshold Voltages and Multi Channel Lengths.},
year = {2009},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Behnam Amelifard} and {Farzan Fallah} and {Massoud Pedram}}
}
@article{journals/tcad/AmelifardP09,
title = {Optimal Design of the Power-Delivery Network for Multiple Voltage-Island System-on-Chips.},
year = {2009},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Behnam Amelifard} and {Massoud Pedram}}
}
@article{journals/tvlsi/JungHP09,
title = {Predictive-Flow-Queue-Based Energy Optimization for Gigabit Ethernet Controllers.},
year = {2009},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Hwisung Jung} and {Andy Hwang} and {Massoud Pedram}}
}
@article{journals/tvlsi/JungP09,
title = {Uncertainty-Aware Dynamic Power Management in Partially Observable Domains.},
year = {2009},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Hwisung Jung} and {Massoud Pedram}}
}
@article{journals/tvlsi/Mottaghi-DastjerdiAP09,
title = {BZ-FAD: A Low-Power Low-Area Multiplier Based on Shift-and-Add Architecture.},
year = {2009},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{M. Mottaghi-Dastjerdi} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tvlsi/RazavipourAP09,
title = {Design and Analysis of Two Low-Power SRAM Cell Structures.},
year = {2009},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{G. Razavipour} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@inproceedings{conf/date/HatamiP10,
title = {Efficient representation, stratification, and compression of variational CSM library waveforms using Robust Principle Component Analysis.},
year = {2010},
booktitle = {DATE},
author = {{Safar Hatami} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010}
}
@inproceedings{conf/date/JungP10,
title = {Optimizing the power delivery network in dynamically voltage scaled systems with uncertain power mode transition times.},
year = {2010},
booktitle = {DATE},
author = {{Hwisung Jung} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010}
}
@inproceedings{conf/date/PakbazniaGP10,
title = {Temperature-aware dynamic resource provisioning in a power-optimized datacenter.},
year = {2010},
booktitle = {DATE},
author = {{Ehsan Pakbaznia} and {Mohammad Ghasemazar} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010}
}
@inproceedings{conf/icppw/PedramH10,
title = {Power and Performance Modeling in a Virtualized Server System.},
year = {2010},
booktitle = {ICPP Workshops},
author = {{Massoud Pedram} and {Inkwon Hwang}},
publisher = {IEEE Computer Society},
booktitle = {39th International Conference on Parallel Processing, ICPP Workshops 2010, San Diego, California, USA, 13-16 September 2010}
}
@inproceedings{conf/iscas/AbrishamiHP10,
title = {Analysis and optimization of sequential circuit element to combat single-event timing upsets.},
year = {2010},
booktitle = {ISCAS},
author = {{Hamed Abrishami} and {Safar Hatami} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2010), May 30 - June 2, 2010, Paris, France}
}
@inproceedings{conf/iscas/GhasemazarPP10,
title = {Minimizing energy consumption of a chip multiprocessor through simultaneous core consolidation and DVFS.},
year = {2010},
booktitle = {ISCAS},
author = {{Mohammad Ghasemazar} and {Ehsan Pakbaznia} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2010), May 30 - June 2, 2010, Paris, France}
}
@inproceedings{conf/islped/KimCWP10,
title = {Maximum power transfer tracking for a photovoltaic-supercapacitor energy system.},
year = {2010},
booktitle = {ISLPED},
author = {{Younghyun Kim 001} and {Naehyuck Chang} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010}
}
@inproceedings{conf/islped/ParkSCP10,
title = {Accurate modeling and calculation of delay and energy overheads of dynamic voltage scaling in modern high-performance microprocessors.},
year = {2010},
booktitle = {ISLPED},
author = {{Jaehyun Park 005} and {Donghwa Shin} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010}
}
@inproceedings{conf/islped/PedramCKW10,
title = {Hybrid electrical energy storage systems.},
year = {2010},
booktitle = {ISLPED},
author = {{Massoud Pedram} and {Naehyuck Chang} and {Younghyun Kim 001} and {Yanzhi Wang}},
publisher = {ACM},
booktitle = {Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010}
}
@inproceedings{conf/isqed/AbrishamiHP10,
title = {Multi-corner, energy-delay optimized, NBTI-aware flip-flop design.},
year = {2010},
booktitle = {ISQED},
author = {{Hamed Abrishami} and {Safar Hatami} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {11th International Symposium on Quality of Electronic Design (ISQED 2010), 22-24 March 2010, San Jose, CA, USA}
}
@inproceedings{conf/isqed/GhasemazarPP10,
title = {Minimizing the power consumption of a Chip Multiprocessor under an average throughput constraint.},
year = {2010},
booktitle = {ISQED},
author = {{Mohammad Ghasemazar} and {Ehsan Pakbaznia} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {11th International Symposium on Quality of Electronic Design (ISQED 2010), 22-24 March 2010, San Jose, CA, USA}
}
@article{journals/ieiceee/AhmadiAP10,
title = {A power-optimized low-energy elliptic-curve crypto-processor.},
year = {2010},
journal = {IEICE Electron. Express},
author = {{Hamid Reza Ahmadi} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/jolpe/RongP10,
title = {A Markovian Decision-Based Approach for Extending the Lifetime of a Network of Battery-Powered Mobile Devices by Remote Processing.},
year = {2010},
journal = {J. Low Power Electron.},
author = {{Peng Rong} and {Massoud Pedram}}
}
@article{journals/tcad/JungP10,
title = {Supervised Learning Based Power Management for Multicore Processors.},
year = {2010},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Hwisung Jung} and {Massoud Pedram}}
}
@inproceedings{conf/IEEEcloud/GoudarziP11,
title = {Multi-dimensional SLA-Based Resource Allocation for Multi-tier Cloud Computing Systems.},
year = {2011},
booktitle = {IEEE CLOUD},
author = {{Hadi Goudarzi} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {IEEE International Conference on Cloud Computing, CLOUD 2011, Washington, DC, USA, 4-9 July, 2011}
}
@inproceedings{conf/dac/AbrishamiLQFP11,
title = {Post sign-off leakage power optimization.},
year = {2011},
booktitle = {DAC},
author = {{Hamed Abrishami} and {Jinan Lou} and {Jeff Qin} and {Jürgen Frößl} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 48th Design Automation Conference, DAC 2011, San Diego, California, USA, June 5-10, 2011}
}
@inproceedings{conf/dac/ShinKCP11,
title = {Dynamic voltage scaling of OLED displays.},
year = {2011},
booktitle = {DAC},
author = {{Donghwa Shin} and {Younghyun Kim 001} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 48th Design Automation Conference, DAC 2011, San Diego, California, USA, June 5-10, 2011}
}
@inproceedings{conf/dac/WangXAP11,
title = {Deriving a near-optimal power management policy using model-free reinforcement learning and Bayesian classification.},
year = {2011},
booktitle = {DAC},
author = {{Yanzhi Wang} and {Qing Xie 001} and {Ahmed Chiheb Ammari} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 48th Design Automation Conference, DAC 2011, San Diego, California, USA, June 5-10, 2011}
}
@inproceedings{conf/date/GhasemazarP11,
title = {Variation aware dynamic power management for chip multiprocessor architectures.},
year = {2011},
booktitle = {DATE},
author = {{Mohammad Ghasemazar} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Design, Automation and Test in Europe, DATE 2011, Grenoble, France, March 14-18, 2011}
}
@inproceedings{conf/date/KamalAP11,
title = {Timing variation-aware custom instruction extension technique.},
year = {2011},
booktitle = {DATE},
author = {{Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Design, Automation and Test in Europe, DATE 2011, Grenoble, France, March 14-18, 2011}
}
@inproceedings{conf/date/ShinKSCWP11,
title = {Battery-supercapacitor hybrid system for high-rate pulsed load applications.},
year = {2011},
booktitle = {DATE},
author = {{Donghwa Shin} and {Younghyun Kim 001} and {Jaeam Seo} and {Naehyuck Chang} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Design, Automation and Test in Europe, DATE 2011, Grenoble, France, March 14-18, 2011}
}
@inproceedings{conf/iccad/KimPWXCPP11,
title = {Balanced reconfiguration of storage banks in a hybrid electrical energy storage system.},
year = {2011},
booktitle = {ICCAD},
author = {{Younghyun Kim 001} and {Sangyoung Park} and {Yanzhi Wang} and {Qing Xie 001} and {Naehyuck Chang} and {Massimo Poncino} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2011 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2011, San Jose, California, USA, November 7-10, 2011}
}
@inproceedings{conf/icdcsw/GoudarziP11,
title = {Maximizing Profit in Cloud Computing System via Resource Allocation.},
year = {2011},
booktitle = {ICDCS Workshops},
author = {{Hadi Goudarzi} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {31st IEEE International Conference on Distributed Computing Systems Workshops (ICDCS 2011 Workshops), 20-24 June 2011, Minneapolis, Minnesota, USA}
}
@inproceedings{conf/islped/LeeKWCPH11,
title = {Versatile high-fidelity photovoltaic module emulation system.},
year = {2011},
booktitle = {ISLPED},
author = {{Woojoo Lee} and {Younghyun Kim 001} and {Yanzhi Wang} and {Naehyuck Chang} and {Massoud Pedram} and {Soohee Han}},
publisher = {IEEE/ACM},
booktitle = {Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011}
}
@inproceedings{conf/islped/WangKXCP11,
title = {Charge migration efficiency optimization in hybrid electrical energy storage (HEES) systems.},
year = {2011},
booktitle = {ISLPED},
author = {{Yanzhi Wang} and {Younghyun Kim 001} and {Qing Xie 001} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {IEEE/ACM},
booktitle = {Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011}
}
@inproceedings{conf/ispd/Pedram11,
title = {Robust design of power-efficient VLSI circuits.},
year = {2011},
booktitle = {ISPD},
author = {{Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2011 International Symposium on Physical Design, ISPD 2011, Santa Barbara, California, USA, March 27-30, 2011}
}
@inproceedings{conf/isqed/KashfiHP11,
title = {Multi-objective optimization techniques for VLSI circuits.},
year = {2011},
booktitle = {ISQED},
author = {{Fatemeh Kashfi} and {Safar Hatami} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the 12th International Symposium on Quality Electronic Design, ISQED 2011, Santa Clara, California, USA, 14-16 March 2011}
}
@inproceedings{conf/smartgridcomm/GoudarziHP11,
title = {Demand-side load scheduling incentivized by dynamic energy prices.},
year = {2011},
booktitle = {SmartGridComm},
author = {{Hadi Goudarzi} and {Safar Hatami} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE Second International Conference on Smart Grid Communications, SmartGridComm 2011, Brussels, Belgium, October 17-20, 2011}
}
@article{journals/tcad/GhasemazarP11,
title = {Optimizing the Power-Delay Product of a Linear Pipeline by Opportunistic Time Borrowing.},
year = {2011},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Mohammad Ghasemazar} and {Massoud Pedram}}
}
@article{journals/todaes/Pedram11,
title = {Call for papers: Verification issue and challenges with multicore systems.},
year = {2011},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Massoud Pedram}}
}
@article{journals/tvlsi/EbrahimiRAP11,
title = {Statistical Design Optimization of FinFET SRAM Using Back-Gate Voltage.},
year = {2011},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Behzad Ebrahimi} and {Masoud Rostami} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tvlsi/NazarianFP11,
title = {Accurate Timing and Noise Analysis of Combinational and Sequential Logic Cells Using Current Source Modeling.},
year = {2011},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Shahin Nazarian} and {Hanif Fatemi} and {Massoud Pedram}}
}
@article{journals/tvlsi/SalehiSNAPF11,
title = {Dynamic Voltage and Frequency Scheduling for Embedded Processors Considering Power/Performance Tradeoffs.},
year = {2011},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Mostafa E. Salehi} and {Mehrzad Samadi} and {Mehrdad Najibi} and {Ali Afzali-Kusha} and {Massoud Pedram} and {Sied Mehdi Fakhraie}}
}
@inproceedings{conf/IEEEcloud/GoudarziP12,
title = {Energy-Efficient Virtual Machine Replication and Placement in a Cloud Computing System.},
year = {2012},
booktitle = {IEEE CLOUD},
author = {{Hadi Goudarzi} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2012 IEEE Fifth International Conference on Cloud Computing, Honolulu, HI, USA, June 24-29, 2012}
}
@inproceedings{conf/IEEEcloud/HwangP12,
title = {Portfolio Theory-Based Resource Assignment in a Cloud Computing System.},
year = {2012},
booktitle = {IEEE CLOUD},
author = {{Inkwon Hwang} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2012 IEEE Fifth International Conference on Cloud Computing, Honolulu, HI, USA, June 24-29, 2012}
}
@inproceedings{conf/aspdac/XieWPKSC12,
title = {Charge replacement in hybrid electrical energy storage systems.},
year = {2012},
booktitle = {ASP-DAC},
author = {{Qing Xie 001} and {Yanzhi Wang} and {Massoud Pedram} and {Younghyun Kim 001} and {Donghwa Shin} and {Naehyuck Chang}},
publisher = {IEEE},
booktitle = {Proceedings of the 17th Asia and South Pacific Design Automation Conference, ASP-DAC 2012, Sydney, Australia, January 30 - February 2, 2012}
}
@inproceedings{conf/ccgrid/GoudarziGP12,
title = {SLA-based Optimization of Power and Migration Cost in Cloud Computing.},
year = {2012},
booktitle = {CCGRID},
author = {{Hadi Goudarzi} and {Mohammad Ghasemazar} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {12th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, CCGrid 2012, Ottawa, Canada, May 13-16, 2012}
}
@inproceedings{conf/dac/KimPCXWP12,
title = {Networked architecture for hybrid electrical energy storage systems.},
year = {2012},
booktitle = {DAC},
author = {{Younghyun Kim 001} and {Sangyoung Park} and {Naehyuck Chang} and {Qing Xie 001} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {The 49th Annual Design Automation Conference 2012, DAC '12, San Francisco, CA, USA, June 3-7, 2012}
}
@inproceedings{conf/dac/LinWYSCP12,
title = {Near-optimal, dynamic module reconfiguration in a photovoltaic system to combat partial shading effects.},
year = {2012},
booktitle = {DAC},
author = {{Xue Lin} and {Yanzhi Wang} and {Siyu Yue} and {Donghwa Shin} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {The 49th Annual Design Automation Conference 2012, DAC '12, San Francisco, CA, USA, June 3-7, 2012}
}
@inproceedings{conf/date/DoustiP12,
title = {Minimizing the latency of quantum circuits during mapping to the ion-trap circuit fabric.},
year = {2012},
booktitle = {DATE},
author = {{Mohammad Javad Dousti} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2012 Design, Automation & Test in Europe Conference & Exhibition, DATE 2012, Dresden, Germany, March 12-16, 2012}
}
@inproceedings{conf/date/KamalASP12,
title = {An architecture-level approach for mitigating the impact of process variations on extensible processors.},
year = {2012},
booktitle = {DATE},
author = {{Mehdi Kamal} and {Ali Afzali-Kusha} and {Saeed Safari} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2012 Design, Automation & Test in Europe Conference & Exhibition, DATE 2012, Dresden, Germany, March 12-16, 2012}
}
@inproceedings{conf/date/WangXPKCP12,
title = {Multiple-source and multiple-destination charge migration in hybrid electrical energy storage systems.},
year = {2012},
booktitle = {DATE},
author = {{Yanzhi Wang} and {Qing Xie 001} and {Massoud Pedram} and {Younghyun Kim 001} and {Naehyuck Chang} and {Massimo Poncino}},
publisher = {IEEE},
booktitle = {2012 Design, Automation & Test in Europe Conference & Exhibition, DATE 2012, Dresden, Germany, March 12-16, 2012}
}
@inproceedings{conf/date/XieLWPSC12,
title = {State of health aware charge management in hybrid electrical energy storage systems.},
year = {2012},
booktitle = {DATE},
author = {{Qing Xie 001} and {Xue Lin} and {Yanzhi Wang} and {Massoud Pedram} and {Donghwa Shin} and {Naehyuck Chang}},
publisher = {IEEE},
booktitle = {2012 Design, Automation & Test in Europe Conference & Exhibition, DATE 2012, Dresden, Germany, March 12-16, 2012}
}
@inproceedings{conf/iccad/LinWZCP12,
title = {Online fault detection and tolerance for photovoltaic energy harvesting systems.},
year = {2012},
booktitle = {ICCAD},
author = {{Xue Lin} and {Yanzhi Wang} and {Di Zhu 002} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {2012 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2012, San Jose, CA, USA, November 5-8, 2012}
}
@inproceedings{conf/iccad/ShinKCP12,
title = {Battery cell configuration for organic light emitting diode display in modern smartphones and tablet-PCs.},
year = {2012},
booktitle = {ICCAD},
author = {{Donghwa Shin} and {Kitae Kim} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {2012 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2012, San Jose, CA, USA, November 5-8, 2012}
}
@inproceedings{conf/iccd/GhasemazarGP12,
title = {Robust optimization of a Chip Multiprocessor's performance under power and thermal constraints.},
year = {2012},
booktitle = {ICCD},
author = {{Mohammad Ghasemazar} and {Hadi Goudarzi} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {30th International IEEE Conference on Computer Design, ICCD 2012, Montreal, QC, Canada, September 30 - Oct. 3, 2012}
}
@inproceedings{conf/iccd/KamalXPAS12,
title = {An efficient reliability simulation flow for evaluating the hot carrier injection effect in CMOS VLSI circuits.},
year = {2012},
booktitle = {ICCD},
author = {{Mehdi Kamal} and {Qing Xie 001} and {Massoud Pedram} and {Ali Afzali-Kusha} and {Saeed Safari}},
publisher = {IEEE Computer Society},
booktitle = {30th International IEEE Conference on Computer Design, ICCD 2012, Montreal, QC, Canada, September 30 - Oct. 3, 2012}
}
@inproceedings{conf/iccd/YueZWP12,
title = {Reinforcement learning based dynamic power management with a hybrid power supply.},
year = {2012},
booktitle = {ICCD},
author = {{Siyu Yue} and {Di Zhu 002} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {30th International IEEE Conference on Computer Design, ICCD 2012, Montreal, QC, Canada, September 30 - Oct. 3, 2012}
}
@inproceedings{conf/isgt/CuiGHNP12,
title = {Concurrent optimization of consumer's electrical energy bill and producer's power generation cost under a dynamic pricing model.},
year = {2012},
booktitle = {ISGT},
author = {{Tiansong Cui} and {Hadi Goudarzi} and {Safar Hatami} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE PES Innovative Smart Grid Technologies Conference, ISGT 2012, Washington, DC, USA, January 16-20, 2012}
}
@inproceedings{conf/islped/HwangKP12,
title = {A study of the effectiveness of CPU consolidation in a virtualized multi-core server system.},
year = {2012},
booktitle = {ISLPED},
author = {{Inkwon Hwang} and {Timothy Kam} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'12, Redondo Beach, CA, USA - July 30 - August 01, 2012}
}
@inproceedings{conf/islped/LeeWSCP12,
title = {Power conversion efficiency characterization and optimization for smartphones.},
year = {2012},
booktitle = {ISLPED},
author = {{Woojoo Lee} and {Yanzhi Wang} and {Donghwa Shin} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'12, Redondo Beach, CA, USA - July 30 - August 01, 2012}
}
@inproceedings{conf/islped/ParkWKCP12,
title = {Battery management for grid-connected PV systems with a battery.},
year = {2012},
booktitle = {ISLPED},
author = {{Sangyoung Park} and {Yanzhi Wang} and {Younghyun Kim 001} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'12, Redondo Beach, CA, USA - July 30 - August 01, 2012}
}
@inproceedings{conf/islped/WangLCP12,
title = {Dynamic reconfiguration of photovoltaic energy harvesting system in hybrid electric vehicles.},
year = {2012},
booktitle = {ISLPED},
author = {{Yanzhi Wang} and {Xue Lin} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'12, Redondo Beach, CA, USA - July 30 - August 01, 2012}
}
@inproceedings{conf/isqed/WangLKCP12,
title = {Enhancing efficiency and robustness of a photovoltaic power system under partial shading.},
year = {2012},
booktitle = {ISQED},
author = {{Yanzhi Wang} and {Xue Lin} and {Younghyun Kim 001} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Thirteenth International Symposium on Quality Electronic Design, ISQED 2012, Santa Clara, CA, USA, March 19-21, 2012}
}
@inproceedings{conf/onlinegreencomm/CuiWGNP12,
title = {Profit maximization for utility companies in an oligopolistic energy market with dynamic prices.},
year = {2012},
booktitle = {OnlineGreenComm},
author = {{Tiansong Cui} and {Yanzhi Wang} and {Hadi Goudarzi} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE Online Conference on Green Communications, OnlineGreenComm 2012, September 25-28, 2012}
}
@inproceedings{conf/patmos/TrikiWAP12,
title = {Dynamic Power Management of a Computer with Self Power-Managed Components.},
year = {2012},
booktitle = {PATMOS},
author = {{Maryam Triki} and {Yanzhi Wang} and {Ahmed Chiheb Ammari} and {Massoud Pedram}},
publisher = {Springer},
booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 22nd International Workshop, PATMOS 2012, Newcastle upon Tyne, UK, September 4-6, 2012, Revised Selected Papers}
}
@article{journals/iet-cds/AghababaKAFP12,
title = {Statistical estimation of leakage power dissipation in nano-scale complementary metal oxide semiconductor digital circuits using generalised extreme value distribution.},
year = {2012},
journal = {IET Circuits Devices Syst.},
author = {{Hossein Aghababa} and {Alireza Khosropour} and {Ali Afzali-Kusha} and {Behjat Forouzandeh} and {Massoud Pedram}}
}
@article{journals/jzusc/AfzalEAP12,
title = {An accurate analytical I-V model for sub-90-nm MOSFETs and its application to read static noise margin modeling.},
year = {2012},
journal = {J. Zhejiang Univ. Sci. C},
author = {{Behrouz Afzal} and {Behzad Ebrahimi} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/mr/AghababaEAP12,
title = {Probability calculation of read failures in nano-scaled SRAM cells under process variations.},
year = {2012},
journal = {Microelectron. Reliab.},
author = {{Hossein Aghababa} and {Behzad Ebrahimi} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tcad/Pedram12,
title = {Energy-Efficient Datacenters.},
year = {2012},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Massoud Pedram}}
}
@article{journals/tvlsi/PakbazniaP12,
title = {Design of a Tri-Modal Multi-Threshold CMOS Switch With Application to Data Retentive Power Gating.},
year = {2012},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Ehsan Pakbaznia} and {Massoud Pedram}}
}
@inproceedings{conf/IEEEcloud/GoudarziP13,
title = {Geographical Load Balancing for Online Service Applications in Distributed Datacenters.},
year = {2013},
booktitle = {IEEE CLOUD},
author = {{Hadi Goudarzi} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2013 IEEE Sixth International Conference on Cloud Computing, Santa Clara, CA, USA, June 28 - July 3, 2013}
}
@inproceedings{conf/IEEEcloud/HwangP13,
title = {Hierarchical Virtual Machine Consolidation in a Cloud Computing System.},
year = {2013},
booktitle = {IEEE CLOUD},
author = {{Inkwon Hwang} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2013 IEEE Sixth International Conference on Cloud Computing, Santa Clara, CA, USA, June 28 - July 3, 2013}
}
@inproceedings{conf/aspdac/ShinKCLWXP13,
title = {Online estimation of the remaining energy capacity in mobile systems considering system-wide power consumption and battery characteristics.},
year = {2013},
booktitle = {ASP-DAC},
author = {{Donghwa Shin} and {Kitae Kim} and {Naehyuck Chang} and {Woojoo Lee} and {Yanzhi Wang} and {Qing Xie 001} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {18th Asia and South Pacific Design Automation Conference, ASP-DAC 2013, Yokohama, Japan, January 22-25, 2013}
}
@inproceedings{conf/aspdac/XieZWPKC13,
title = {An efficient scheduling algorithm for multiple charge migration tasks in hybrid electrical energy storage systems.},
year = {2013},
booktitle = {ASP-DAC},
author = {{Qing Xie 001} and {Di Zhu 002} and {Yanzhi Wang} and {Massoud Pedram} and {Younghyun Kim 001} and {Naehyuck Chang}},
publisher = {IEEE},
booktitle = {18th Asia and South Pacific Design Automation Conference, ASP-DAC 2013, Yokohama, Japan, January 22-25, 2013}
}
@inproceedings{conf/aspdac/ZhuWYXPC13,
title = {Maximizing return on investment of a grid-connected hybrid electrical energy storage system.},
year = {2013},
booktitle = {ASP-DAC},
author = {{Di Zhu 002} and {Yanzhi Wang} and {Siyu Yue} and {Qing Xie 001} and {Massoud Pedram} and {Naehyuck Chang}},
publisher = {IEEE},
booktitle = {18th Asia and South Pacific Design Automation Conference, ASP-DAC 2013, Yokohama, Japan, January 22-25, 2013}
}
@inproceedings{conf/cloudnet/LinWP13,
title = {An optimal control policy in a mobile cloud computing system based on stochastic data.},
year = {2013},
booktitle = {CloudNet},
author = {{Xue Lin} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE 2nd International Conference on Cloud Networking, CloudNet 2013, San Francisco, CA, USA, November 11-13, 2013}
}
@inproceedings{conf/cluster/GoudarziP13,
title = {Force-directed geographical load balancing and scheduling for batch jobs in distributed datacenters.},
year = {2013},
booktitle = {CLUSTER},
author = {{Hadi Goudarzi} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2013 IEEE International Conference on Cluster Computing, CLUSTER 2013, Indianapolis, IN, USA, September 23-27, 2013}
}
@inproceedings{conf/codes/GaoWGP13,
title = {An energy and deadline aware resource provisioning, scheduling and optimization framework for cloud systems.},
year = {2013},
booktitle = {CODES+ISSS},
author = {{Yue Gao} and {Yanzhi Wang} and {Sandeep K. Gupta 001} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2013, Montreal, QC, Canada, September 29 - October 4, 2013}
}
@inproceedings{conf/codes/ZhuYWKCP13,
title = {Designing a residential hybrid electrical energy storage system based on the energy buffering strategy.},
year = {2013},
booktitle = {CODES+ISSS},
author = {{Di Zhu 002} and {Siyu Yue} and {Yanzhi Wang} and {Younghyun Kim 001} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2013, Montreal, QC, Canada, September 29 - October 4, 2013}
}
@inproceedings{conf/dac/DoustiP13,
title = {LEQA: latency estimation for a quantum algorithm mapped to a quantum circuit fabric.},
year = {2013},
booktitle = {DAC},
author = {{Mohammad Javad Dousti} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {The 50th Annual Design Automation Conference 2013, DAC '13, Austin, TX, USA, May 29 - June 07, 2013}
}
@inproceedings{conf/dac/ShafaeiSP13,
title = {Optimization of quantum circuits for interaction distance in linear nearest neighbor architectures.},
year = {2013},
booktitle = {DAC},
author = {{Alireza Shafaei} and {Mehdi Saeedi} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {The 50th Annual Design Automation Conference 2013, DAC '13, Austin, TX, USA, May 29 - June 07, 2013}
}
@inproceedings{conf/date/Pedram13,
title = {Creating a sustainable information and communication infrastructure.},
year = {2013},
booktitle = {DATE},
author = {{Massoud Pedram}},
publisher = {EDA Consortium San Jose, CA, USA / ACM DL},
booktitle = {Design, Automation and Test in Europe, DATE 13, Grenoble, France, March 18-22, 2013}
}
@inproceedings{conf/date/ShafaeiSP13,
title = {Reversible logic synthesis of k-input, m-output lookup tables.},
year = {2013},
booktitle = {DATE},
author = {{Alireza Shafaei} and {Mehdi Saeedi} and {Massoud Pedram}},
publisher = {EDA Consortium San Jose, CA, USA / ACM DL},
booktitle = {Design, Automation and Test in Europe, DATE 13, Grenoble, France, March 18-22, 2013}
}
@inproceedings{conf/date/WangLPKC13,
title = {Capital cost-aware design and partial shading-aware architecture optimization of a reconfigurable photovoltaic system.},
year = {2013},
booktitle = {DATE},
author = {{Yanzhi Wang} and {Xue Lin} and {Massoud Pedram} and {Jaemin Kim} and {Naehyuck Chang}},
publisher = {EDA Consortium San Jose, CA, USA / ACM DL},
booktitle = {Design, Automation and Test in Europe, DATE 13, Grenoble, France, March 18-22, 2013}
}
@inproceedings{conf/date/WangLPPC13,
title = {Optimal control of a grid-connected hybrid electrical energy storage system for homes.},
year = {2013},
booktitle = {DATE},
author = {{Yanzhi Wang} and {Xue Lin} and {Massoud Pedram} and {Sangyoung Park} and {Naehyuck Chang}},
publisher = {EDA Consortium San Jose, CA, USA / ACM DL},
booktitle = {Design, Automation and Test in Europe, DATE 13, Grenoble, France, March 18-22, 2013}
}
@inproceedings{conf/date/XieYPSC13,
title = {Adaptive thermal management for portable system batteries by forced convection cooling.},
year = {2013},
booktitle = {DATE},
author = {{Qing Xie 001} and {Siyu Yue} and {Massoud Pedram} and {Donghwa Shin} and {Naehyuck Chang}},
publisher = {EDA Consortium San Jose, CA, USA / ACM DL},
booktitle = {Design, Automation and Test in Europe, DATE 13, Grenoble, France, March 18-22, 2013}
}
@inproceedings{conf/dtis/KamalASPE13,
title = {Capturing and mitigating the NBTI effect during the design flow for extensible processors.},
year = {2013},
booktitle = {DTIS},
author = {{Mehdi Kamal} and {Ali Afzali-Kusha} and {Saeed Safari} and {Massoud Pedram} and {Behzad Eghbalkhah}},
publisher = {IEEE},
booktitle = {Proceedings of the 8th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, DTIS 2013, 26-28 March, 2013, Abu Dhabi, UAE}
}
@inproceedings{conf/ems/TrikiAWP13,
title = {Reinforcement Learning-Based Dynamic Power Management of a Battery-Powered System Supplying Multiple Active Modes.},
year = {2013},
booktitle = {EMS},
author = {{Maryam Triki} and {Ahmed Chiheb Ammari} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Seventh UKSim/AMSS European Modelling Symposium, EMS 2013, 20-22 November, 2013, Manchester UK}
}
@inproceedings{conf/globecom/ChenWP13,
title = {A semi-Markovian decision process based control method for offloading tasks from mobile devices to the cloud.},
year = {2013},
booktitle = {GLOBECOM},
author = {{Shuang Chen 001} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2013 IEEE Global Communications Conference, GLOBECOM 2013, Atlanta, GA, USA, December 9-13, 2013}
}
@inproceedings{conf/glvlsi/XieWP13,
title = {Variability-aware design of energy-delay optimal linear pipelines operating in the near-threshold regime and above.},
year = {2013},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Qing Xie 001} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Great Lakes Symposium on VLSI 2013 (part of ECRC), GLSVLSI'13, Paris, France, May 2-4, 2013}
}
@inproceedings{conf/iccad/LinWP13,
title = {Joint sizing and adaptive independent gate control for FinFET circuits operating in multiple voltage regimes using the logical effort method.},
year = {2013},
booktitle = {ICCAD},
author = {{Xue Lin} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {The IEEE/ACM International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}
}
@inproceedings{conf/iccad/XieKWSCP13,
title = {Dynamic thermal management in mobile devices considering the thermal coupling between battery and application processor.},
year = {2013},
booktitle = {ICCAD},
author = {{Qing Xie 001} and {Jaemin Kim} and {Yanzhi Wang} and {Donghwa Shin} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {The IEEE/ACM International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}
}
@inproceedings{conf/iccd/XieCWNP13,
title = {Semi-analytical current source modeling of near-threshold operating logic cells considering process variations.},
year = {2013},
booktitle = {ICCD},
author = {{Qing Xie 001} and {Tiansong Cui} and {Yanzhi Wang} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2013 IEEE 31st International Conference on Computer Design, ICCD 2013, Asheville, NC, USA, October 6-9, 2013}
}
@inproceedings{conf/isgt/CuiWYNP13,
title = {A game-theoretic price determination algorithm for utility companies serving a community in smart grid.},
year = {2013},
booktitle = {ISGT},
author = {{Tiansong Cui} and {Yanzhi Wang} and {Siyu Yue} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE PES Innovative Smart Grid Technologies Conference, ISGT 2013, Washington, DC, USA, February 24-27, 2013}
}
@inproceedings{conf/isgt/WangLP13,
title = {A sequential game perspective and optimization of the smart grid with distributed data centers.},
year = {2013},
booktitle = {ISGT},
author = {{Yanzhi Wang} and {Xue Lin} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE PES Innovative Smart Grid Technologies Conference, ISGT 2013, Washington, DC, USA, February 24-27, 2013}
}
@inproceedings{conf/islped/DoustiP13,
title = {Platform-dependent, leakage-aware control of the driving current of embedded thermoelectric coolers.},
year = {2013},
booktitle = {ISLPED},
author = {{Mohammad Javad Dousti} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {International Symposium on Low Power Electronics and Design (ISLPED), Beijing, China, September 4-6, 2013}
}
@inproceedings{conf/islped/LinWYCP13,
title = {A framework of concurrent task scheduling and dynamic voltage and frequency scaling in real-time embedded systems with energy harvesting.},
year = {2013},
booktitle = {ISLPED},
author = {{Xue Lin} and {Yanzhi Wang} and {Siyu Yue} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {International Symposium on Low Power Electronics and Design (ISLPED), Beijing, China, September 4-6, 2013}
}
@inproceedings{conf/islped/ParkKWKKPC13,
title = {Maximum power transfer tracking in a solar USB charger for smartphones.},
year = {2013},
booktitle = {ISLPED},
author = {{Sangyoung Park} and {Bumkyu Koh} and {Yanzhi Wang} and {Jaemin Kim} and {Younghyun Kim 001} and {Massoud Pedram} and {Naehyuck Chang}},
publisher = {IEEE},
booktitle = {International Symposium on Low Power Electronics and Design (ISLPED), Beijing, China, September 4-6, 2013}
}
@inproceedings{conf/islped/YueZWPKC13,
title = {SIMES: A simulator for hybrid electrical energy storage systems.},
year = {2013},
booktitle = {ISLPED},
author = {{Siyu Yue} and {Di Zhu 002} and {Yanzhi Wang} and {Massoud Pedram} and {Younghyun Kim 001} and {Naehyuck Chang}},
publisher = {IEEE},
booktitle = {International Symposium on Low Power Electronics and Design (ISLPED), Beijing, China, September 4-6, 2013}
}
@inproceedings{conf/isqed/WangCGP13,
title = {Resource allocation and consolidation in a multi-core server cluster using a Markov decision process model.},
year = {2013},
booktitle = {ISQED},
author = {{Yanzhi Wang} and {Shuang Chen 001} and {Hadi Goudarzi} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {International Symposium on Quality Electronic Design, ISQED 2013, Santa Clara, CA, USA, March 4-6, 2013}
}
@inproceedings{conf/isqed/WangTLAP13,
title = {Hierarchical dynamic power management using model-free reinforcement learning.},
year = {2013},
booktitle = {ISQED},
author = {{Yanzhi Wang} and {Maryam Triki} and {Xue Lin} and {Ahmed Chiheb Ammari} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {International Symposium on Quality Electronic Design, ISQED 2013, Santa Clara, CA, USA, March 4-6, 2013}
}
@inproceedings{conf/onlinegreencomm/LiWNP13,
title = {A nested game-based optimization framework for electricity retailers in the smart grid with residential users and PEVs.},
year = {2013},
booktitle = {OnlineGreenComm},
author = {{Yang Li} and {Yanzhi Wang} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE Online Conference on Green Communications, OnlineGreenComm 2013, October 29-31, 2013}
}
@inproceedings{conf/rc/SaeediSP13,
title = {Constant-Factor Optimization of Quantum Adders on 2D Quantum Architectures.},
year = {2013},
booktitle = {RC},
author = {{Mehdi Saeedi} and {Alireza Shafaei} and {Massoud Pedram}},
publisher = {Springer},
booktitle = {Reversible Computation - 5th International Conference, RC 2013, Victoria, BC, Canada, July 4-5, 2013. Proceedings}
}
@inproceedings{conf/sose/WangLP13,
title = {A Nested Two Stage Game-Based Optimization Framework in Mobile Cloud Computing System.},
year = {2013},
booktitle = {SOSE},
author = {{Yanzhi Wang} and {Xue Lin} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Seventh IEEE International Symposium on Service-Oriented System Engineering, SOSE 2013, San Francisco, CA, USA, March 25-28, 2013}
}
@article{journals/corr/abs-1303-3557,
title = {Linear-Depth Quantum Circuits for n-qubit Toffoli gates with no Ancilla},
year = {2013},
journal = {CoRR},
author = {{Mehdi Saeedi} and {Massoud Pedram}}
}
@article{journals/dafes/KamalYNAP13,
title = {A new merit function for custom instruction selection under an area budget constraint.},
year = {2013},
journal = {Des. Autom. Embed. Syst.},
author = {{Mehdi Kamal} and {Amir Yazdanbakhsh} and {Hamid Noori} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/fteda/KimWCP13,
title = {Computer-Aided Design and Optimization of Hybrid Energy Storage Systems.},
year = {2013},
journal = {Found. Trends Electron. Des. Autom.},
author = {{Younghyun Kim 001} and {Yanzhi Wang} and {Naehyuck Chang} and {Massoud Pedram}}
}
@article{journals/mam/KamalASP13,
title = {Considering the effect of process variations during the ISA extension design flow.},
year = {2013},
journal = {Microprocess. Microsystems},
author = {{Mehdi Kamal} and {Ali Afzali-Kusha} and {Saeed Safari} and {Massoud Pedram}}
}
@article{journals/qic/AbdollahiSP13,
title = {Reversible logic synthesis by quantum rotation gates.},
year = {2013},
journal = {Quantum Inf. Comput.},
author = {{Afshin Abdollahi} and {Mehdi Saeedi} and {Massoud Pedram}}
}
@article{journals/tc/PatelAP13,
title = {NFRA: Generalized Network Flow-Based Resource Allocation for Hosting Centers.},
year = {2013},
journal = {IEEE Trans. Computers},
author = {{Kimish Patel} and {Murali Annavaram} and {Massoud Pedram}}
}
@article{journals/tcad/AbrishamiHP13,
title = {Design and Multicorner Optimization of the Energy-Delay Product of CMOS Flip-Flops Under the Negative Bias Temperature Instability Effect.},
year = {2013},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Hamed Abrishami} and {Safar Hatami} and {Massoud Pedram}}
}
@article{journals/tcad/ParkPSWXPC13,
title = {Accurate Modeling of the Delay and Energy Overhead of Dynamic Voltage and Frequency Scaling in Modern Microprocessors.},
year = {2013},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Sangyoung Park} and {Jaehyun Park 005} and {Donghwa Shin} and {Yanzhi Wang} and {Qing Xie 001} and {Massoud Pedram} and {Naehyuck Chang}}
}
@article{journals/tcad/ShinKCP13,
title = {Dynamic Driver Supply Voltage Scaling for Organic Light Emitting Diode Displays.},
year = {2013},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Donghwa Shin} and {Younghyun Kim 001} and {Naehyuck Chang} and {Massoud Pedram}}
}
@article{journals/tcad/XieWKPC13,
title = {Charge Allocation in Hybrid Electrical Energy Storage Systems.},
year = {2013},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Qing Xie 001} and {Yanzhi Wang} and {Younghyun Kim 001} and {Massoud Pedram} and {Naehyuck Chang}}
}
@article{journals/tvlsi/GhavamiRPP13,
title = {Statistical Functional Yield Estimation and Enhancement of CNFET-Based VLSI Circuits.},
year = {2013},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Behnam Ghavami} and {Mohsen Raji} and {Hossein Pedram} and {Massoud Pedram}}
}
@inproceedings{conf/IEEEcloud/LinWXP14,
title = {Energy and Performance-Aware Task Scheduling in a Mobile Cloud Computing Environment.},
year = {2014},
booktitle = {IEEE CLOUD},
author = {{Xue Lin} and {Yanzhi Wang} and {Qing Xie 001} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2014 IEEE 7th International Conference on Cloud Computing, Anchorage, AK, USA, June 27 - July 2, 2014}
}
@inproceedings{conf/aspdac/CuiWLNP14,
title = {Semi-analytical current source modeling of FinFET devices operating in near/sub-threshold regime with independent gate control and considering process variation.},
year = {2014},
booktitle = {ASP-DAC},
author = {{Tiansong Cui} and {Yanzhi Wang} and {Xue Lin} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {19th Asia and South Pacific Design Automation Conference, ASP-DAC 2014, Singapore, January 20-23, 2014}
}
@inproceedings{conf/aspdac/ShafaeiSP14,
title = {Qubit placement to minimize communication overhead in 2D quantum architectures.},
year = {2014},
booktitle = {ASP-DAC},
author = {{Alireza Shafaei} and {Mehdi Saeedi} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {19th Asia and South Pacific Design Automation Conference, ASP-DAC 2014, Singapore, January 20-23, 2014}
}
@inproceedings{conf/bigdata/ChenGWBP14,
title = {Trace-Based Analysis and Prediction of Cloud Computing User Behavior Using the Fractal Modeling Technique.},
year = {2014},
booktitle = {BigData Congress},
author = {{Shuang Chen 001} and {Mahboobeh Ghorbani} and {Yanzhi Wang} and {Paul Bogdan} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2014 IEEE International Congress on Big Data, Anchorage, AK, USA, June 27 - July 2, 2014}
}
@inproceedings{conf/codes/GhorbaniWXPB14,
title = {Prediction and control of bursty cloud workloads: A fractal framework.},
year = {2014},
booktitle = {CODES+ISSS},
author = {{Mahboobeh Ghorbani} and {Yanzhi Wang} and {Yuankun Xue} and {Massoud Pedram} and {Paul Bogdan}},
publisher = {ACM},
booktitle = {2014 International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2014, Uttar Pradesh, India, October 12-17, 2014}
}
@inproceedings{conf/codes/ZhuYPWCP14,
title = {Cost-effective design of a hybrid electrical energy storage system for electric vehicles.},
year = {2014},
booktitle = {CODES+ISSS},
author = {{Di Zhu 002} and {Siyu Yue} and {Sangyoung Park} and {Yanzhi Wang} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {2014 International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2014, Uttar Pradesh, India, October 12-17, 2014}
}
@inproceedings{conf/dac/DoustiP14,
title = {Power-Aware Deployment and Control of Forced-Convection and Thermoelectric Coolers.},
year = {2014},
booktitle = {DAC},
author = {{Mohammad Javad Dousti} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {The 51st Annual Design Automation Conference 2014, DAC '14, San Francisco, CA, USA, June 1-5, 2014}
}
@inproceedings{conf/date/ChenWP14,
title = {Concurrent placement, capacity provisioning, and request flow control for a distributed cloud infrastructure.},
year = {2014},
booktitle = {DATE},
author = {{Shuang Chen 001} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {European Design and Automation Association},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014}
}
@inproceedings{conf/date/GaoGWP14,
title = {An energy-aware fault tolerant scheduling framework for soft error resilient cloud computing systems.},
year = {2014},
booktitle = {DATE},
author = {{Yue Gao} and {Sandeep K. Gupta 001} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {European Design and Automation Association},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014}
}
@inproceedings{conf/date/KamalGAP14,
title = {Improving efficiency of extensible processors by using approximate custom instructions.},
year = {2014},
booktitle = {DATE},
author = {{Mehdi Kamal} and {Amin Ghasemazar} and {Ali Afzali-Kusha} and {Massoud Pedram}},
publisher = {European Design and Automation Association},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014}
}
@inproceedings{conf/date/KimSXWPC14,
title = {FEPMA: Fine-grained event-driven power meter for android smartphones based on device driver layer event monitoring.},
year = {2014},
booktitle = {DATE},
author = {{Kitae Kim} and {Donghwa Shin} and {Qing Xie 001} and {Yanzhi Wang} and {Massoud Pedram} and {Naehyuck Chang}},
publisher = {European Design and Automation Association},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014}
}
@inproceedings{conf/date/LeeWP14,
title = {VRCon: Dynamic reconfiguration of voltage regulators in a multicore platform.},
year = {2014},
booktitle = {DATE},
author = {{Woojoo Lee} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {European Design and Automation Association},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014}
}
@inproceedings{conf/date/WangLXCP14,
title = {Minimizing state-of-health degradation in hybrid electrical energy storage systems with arbitrary source and load profiles.},
year = {2014},
booktitle = {DATE},
author = {{Yanzhi Wang} and {Xue Lin} and {Qing Xie 001} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {European Design and Automation Association},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014}
}
@inproceedings{conf/date/ZhuCYP14,
title = {Application mapping for express channel-based networks-on-chip.},
year = {2014},
booktitle = {DATE},
author = {{Di Zhu 002} and {Lizhong Chen} and {Siyu Yue} and {Massoud Pedram}},
publisher = {European Design and Automation Association},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014}
}
@inproceedings{conf/date/ZhuWCP14,
title = {Optimal design and management of a smart residential PV and energy storage system.},
year = {2014},
booktitle = {DATE},
author = {{Di Zhu 002} and {Yanzhi Wang} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {European Design and Automation Association},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014}
}
@inproceedings{conf/glvlsi/DoustiSP14,
title = {Squash: a scalable quantum mapper considering ancilla sharing.},
year = {2014},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Mohammad Javad Dousti} and {Alireza Shafaei} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21 - 23, 2014}
}
@inproceedings{conf/glvlsi/FuWLNP14,
title = {Energy optimal sizing of FinFET standard cells operating in multiple voltage regimes using adaptive independent gate control.},
year = {2014},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Yue Fu} and {Yanzhi Wang} and {Xue Lin} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21 - 23, 2014}
}
@inproceedings{conf/glvlsi/WangLP14,
title = {Optimal power switch design methodology for ultra dynamic voltage scaling with a limited number of power rails.},
year = {2014},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Yanzhi Wang} and {Xue Lin} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21 - 23, 2014}
}
@inproceedings{conf/green/CuiXWNP14,
title = {7nm FinFET standard cell layout characterization and power density prediction in near- and super-threshold voltage regimes.},
year = {2014},
booktitle = {IGCC},
author = {{Tiansong Cui} and {Qing Xie 001} and {Yanzhi Wang} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {International Green Computing Conference, IGCC 2014, Dallas, TX, USA, November 3-5, 2014}
}
@inproceedings{conf/green/Ghasemi-GolWP14,
title = {An optimization framework for data centers to minimize electric bill under day-ahead dynamic energy prices while providing regulation services.},
year = {2014},
booktitle = {IGCC},
author = {{Majid Ghasemi-Gol} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {International Green Computing Conference, IGCC 2014, Dallas, TX, USA, November 3-5, 2014}
}
@inproceedings{conf/iccad/ChenWP14,
title = {Optimal offloading control for a mobile device based on a realistic battery model and semi-markov decision process.},
year = {2014},
booktitle = {ICCAD},
author = {{Shuang Chen 001} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {The IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2014, San Jose, CA, USA, November 3-6, 2014}
}
@inproceedings{conf/iccad/LinWBCP14,
title = {Reinforcement learning based power management for hybrid electric vehicles.},
year = {2014},
booktitle = {ICCAD},
author = {{Xue Lin} and {Yanzhi Wang} and {Paul Bogdan} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {The IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2014, San Jose, CA, USA, November 3-6, 2014}
}
@inproceedings{conf/iccd/LinWCP14,
title = {Power supply and consumption co-optimization of portable embedded systems with hybrid power supply.},
year = {2014},
booktitle = {ICCD},
author = {{Xue Lin} and {Yanzhi Wang} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {32nd IEEE International Conference on Computer Design, ICCD 2014, Seoul, South Korea, October 19-22, 2014}
}
@inproceedings{conf/iccd/ShafaeiWP14,
title = {Low write-energy STT-MRAMs using FinFET-based access transistors.},
year = {2014},
booktitle = {ICCD},
author = {{Alireza Shafaei} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {32nd IEEE International Conference on Computer Design, ICCD 2014, Seoul, South Korea, October 19-22, 2014}
}
@inproceedings{conf/iccd/XieWCP14,
title = {Variation-aware joint optimization of the supply voltage and sleep transistor size for the 7nm FinFET technology.},
year = {2014},
booktitle = {ICCD},
author = {{Qing Xie 001} and {Yanzhi Wang} and {Shuang Chen 001} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {32nd IEEE International Conference on Computer Design, ICCD 2014, Seoul, South Korea, October 19-22, 2014}
}
@inproceedings{conf/iecon/ChenWP14,
title = {Resource allocation optimization in a data center with energy storage devices.},
year = {2014},
booktitle = {IECON},
author = {{Shuang Chen 001} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IECON 2014 - 40th Annual Conference of the IEEE Industrial Electronics Society, Dallas, TX, USA, October 29 - November 1, 2014}
}
@inproceedings{conf/iecon/YueW0ZPC14,
title = {Model-free learning-based online management of hybrid electrical energy storage systems in electric vehicles.},
year = {2014},
booktitle = {IECON},
author = {{Siyu Yue} and {Yanzhi Wang} and {Qing Xie 001} and {Di Zhu 002} and {Massoud Pedram} and {Naehyuck Chang}},
publisher = {IEEE},
booktitle = {IECON 2014 - 40th Annual Conference of the IEEE Industrial Electronics Society, Dallas, TX, USA, October 29 - November 1, 2014}
}
@inproceedings{conf/ipps/ZhuCYPP14,
title = {Balancing On-Chip Network Latency in Multi-application Mapping for Chip-Multiprocessors.},
year = {2014},
booktitle = {IPDPS},
author = {{Di Zhu 002} and {Lizhong Chen} and {Siyu Yue} and {Timothy Mark Pinkston} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2014 IEEE 28th International Parallel and Distributed Processing Symposium, Phoenix, AZ, USA, May 19-23, 2014}
}
@inproceedings{conf/isgt/CuiWNP14,
title = {An electricity trade model for microgrid communities in smart grid.},
year = {2014},
booktitle = {ISGT},
author = {{Tiansong Cui} and {Yanzhi Wang} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE PES Innovative Smart Grid Technologies Conference, ISGT 2014, Washington, DC, USA, February 19-22, 2014}
}
@inproceedings{conf/isgt/WangLP14,
title = {Coordination of the smart grid and distributed data centers: A nested game-based optimization framework.},
year = {2014},
booktitle = {ISGT},
author = {{Yanzhi Wang} and {Xue Lin} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE PES Innovative Smart Grid Technologies Conference, ISGT 2014, Washington, DC, USA, February 19-22, 2014}
}
@inproceedings{conf/islped/KimWPC14,
title = {Fast photovoltaic array reconfiguration for partial solar powered vehicles.},
year = {2014},
booktitle = {ISLPED},
author = {{Jaemin Kim} and {Yanzhi Wang} and {Massoud Pedram} and {Naehyuck Chang}},
publisher = {ACM},
booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, USA - August 11 - 13, 2014}
}
@inproceedings{conf/islped/LeeWCNP14,
title = {Dynamic thermal management for FinFET-based circuits exploiting the temperature effect inversion phenomenon.},
year = {2014},
booktitle = {ISLPED},
author = {{Woojoo Lee} and {Yanzhi Wang} and {Tiansong Cui} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, USA - August 11 - 13, 2014}
}
@inproceedings{conf/islped/XieDP14,
title = {Therminator: a thermal simulator for smartphones producing accurate chip and skin temperature maps.},
year = {2014},
booktitle = {ISLPED},
author = {{Qing Xie 001} and {Mohammad Javad Dousti} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, USA - August 11 - 13, 2014}
}
@inproceedings{conf/islped/YueCZPP14,
title = {Smart butterfly: reducing static power dissipation of network-on-chip with core-state-awareness.},
year = {2014},
booktitle = {ISLPED},
author = {{Siyu Yue} and {Lizhong Chen} and {Di Zhu 002} and {Timothy Mark Pinkston} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, USA - August 11 - 13, 2014}
}
@inproceedings{conf/ispd/Pedram14,
title = {Interconnect length estimation in VLSI designs: a retrospective.},
year = {2014},
booktitle = {ISPD},
author = {{Massoud Pedram}},
publisher = {ACM},
booktitle = {International Symposium on Physical Design, ISPD'14, Petaluma, CA, USA, March 30 - April 02, 2014}
}
@inproceedings{conf/isqed/CuiCWNP14,
title = {An efficient semi-analytical current source model for FinFET devices in near/sub-threshold regime considering multiple input switching and stack effect.},
year = {2014},
booktitle = {ISQED},
author = {{Tiansong Cui} and {Shuang Chen 001} and {Yanzhi Wang} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Fifteenth International Symposium on Quality Electronic Design, ISQED 2014, Santa Clara, CA, USA, March 3-5, 2014}
}
@inproceedings{conf/isqed/LinWNP14,
title = {An improved logical effort model and framework applied to optimal sizing of circuits operating in multiple supply voltage regimes.},
year = {2014},
booktitle = {ISQED},
author = {{Xue Lin} and {Yanzhi Wang} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Fifteenth International Symposium on Quality Electronic Design, ISQED 2014, Santa Clara, CA, USA, March 3-5, 2014}
}
@inproceedings{conf/isqed/LinWP14,
title = {Stack sizing analysis and optimization for FinFET logic cells and circuits operating in the sub/near-threshold regime.},
year = {2014},
booktitle = {ISQED},
author = {{Xue Lin} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Fifteenth International Symposium on Quality Electronic Design, ISQED 2014, Santa Clara, CA, USA, March 3-5, 2014}
}
@inproceedings{conf/isvlsi/ShafaeiWLP14,
title = {FinCACTI: Architectural Analysis and Modeling of Caches with Deeply-Scaled FinFET Devices.},
year = {2014},
booktitle = {ISVLSI},
author = {{Alireza Shafaei} and {Yanzhi Wang} and {Xue Lin} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2014, Tampa, FL, USA, July 9-11, 2014}
}
@inproceedings{conf/isvlsi/XieLWDSGP14,
title = {5nm FinFET Standard Cell Library Optimization and Circuit Synthesis in Near-and Super-Threshold Voltage Regimes.},
year = {2014},
booktitle = {ISVLSI},
author = {{Qing Xie 001} and {Xue Lin} and {Yanzhi Wang} and {Mohammad Javad Dousti} and {Alireza Shafaei} and {Majid Ghasemi-Gol} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2014, Tampa, FL, USA, July 9-11, 2014}
}
@inproceedings{conf/onlinegreencomm/LiWCNP14,
title = {Negotiation-based task scheduling to minimize user's electricity bills under dynamic energy prices.},
year = {2014},
booktitle = {OnlineGreenComm},
author = {{Ji Li 006} and {Yanzhi Wang} and {Tiansong Cui} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE Online Conference on Green Communications, OnlineGreenComm 2014, November 12-14, 2014}
}
@article{journals/dt/LinWPKC14,
title = {Designing Fault-Tolerant Photovoltaic Systems.},
year = {2014},
journal = {IEEE Des. Test},
author = {{Xue Lin} and {Yanzhi Wang} and {Massoud Pedram} and {Jaemin Kim} and {Naehyuck Chang}}
}
@article{journals/integration/XieWP14,
title = {Designing soft-edge flip-flop-based linear pipelines operating in multiple supply voltage regimes.},
year = {2014},
journal = {Integr.},
author = {{Qing Xie 001} and {Yanzhi Wang} and {Massoud Pedram}}
}
@article{journals/jetc/KamalASP14,
title = {Impact of Process Variations on Speedup and Maximum Achievable Frequency of Extensible Processors.},
year = {2014},
journal = {ACM J. Emerg. Technol. Comput. Syst.},
author = {{Mehdi Kamal} and {Ali Afzali-Kusha} and {Saeed Safari} and {Massoud Pedram}}
}
@article{journals/jetc/ShafaeiSP14,
title = {Cofactor Sharing for Reversible Logic Synthesis.},
year = {2014},
journal = {ACM J. Emerg. Technol. Comput. Syst.},
author = {{Alireza Shafaei} and {Mehdi Saeedi} and {Massoud Pedram}}
}
@article{journals/mam/YazdanbakhshKFASP14,
title = {Implementation-aware selection of the custom instruction set for extensible processors.},
year = {2014},
journal = {Microprocess. Microsystems},
author = {{Amir Yazdanbakhsh} and {Mehdi Kamal} and {Sied Mehdi Fakhraie} and {Ali Afzali-Kusha} and {Saeed Safari} and {Massoud Pedram}}
}
@article{journals/qip/GoudarziDSP14,
title = {Design of a universal logic block for fault-tolerant realization of any logic operation in trapped-ion quantum circuits.},
year = {2014},
journal = {Quantum Inf. Process.},
author = {{Hadi Goudarzi} and {Mohammad Javad Dousti} and {Alireza Shafaei} and {Massoud Pedram}}
}
@article{journals/tcad/LeeWSCP14,
title = {Optimizing the Power Delivery Network in a Smartphone Platform.},
year = {2014},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Woojoo Lee} and {Yanzhi Wang} and {Donghwa Shin} and {Naehyuck Chang} and {Massoud Pedram}}
}
@article{journals/tcad/WangLKCP14,
title = {Architecture and Control Algorithms for Combating Partial Shading in Photovoltaic Systems.},
year = {2014},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Yanzhi Wang} and {Xue Lin} and {Younghyun Kim 001} and {Naehyuck Chang} and {Massoud Pedram}}
}
@article{journals/tsg/WangLP14,
title = {Adaptive Control for Energy Storage Systems in Households With Photovoltaic Modules.},
year = {2014},
journal = {IEEE Trans. Smart Grid},
author = {{Yanzhi Wang} and {Xue Lin} and {Massoud Pedram}}
}
@article{journals/tvlsi/HatamiHGP14,
title = {Single-Bit Pseudoparallel Processing Low-Oversampling Delta-Sigma Modulator Suitable for SDR Wireless Transmitters.},
year = {2014},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Safar Hatami} and {Mohamed Helaoui} and {Fadhel M. Ghannouchi} and {Massoud Pedram}}
}
@article{journals/tvlsi/WangLKXPC14,
title = {Single-Source, Single-Destination Charge Migration in Hybrid Electrical Energy Storage Systems.},
year = {2014},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Yanzhi Wang} and {Xue Lin} and {Younghyun Kim 001} and {Qing Xie 001} and {Massoud Pedram} and {Naehyuck Chang}}
}
@inproceedings{conf/IEEEcloud/ChenWP15,
title = {A Joint Optimization Framework for Request Scheduling and Energy Storage Management in a Data Center.},
year = {2015},
booktitle = {CLOUD},
author = {{Shuang Chen 001} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {8th IEEE International Conference on Cloud Computing, CLOUD 2015, New York City, NY, USA, June 27 - July 2, 2015}
}
@inproceedings{conf/IEEEcloud/WangWLP15,
title = {Hierarchical Deployment and Control of Energy Storage Devices in Data Centers.},
year = {2015},
booktitle = {CLOUD},
author = {{Shuo Wang 009} and {Yanzhi Wang} and {Xue Lin} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {8th IEEE International Conference on Cloud Computing, CLOUD 2015, New York City, NY, USA, June 27 - July 2, 2015}
}
@inproceedings{conf/aspdac/LiWLNP15,
title = {Negotiation-based task scheduling and storage control algorithm to minimize user's electric bills under dynamic prices.},
year = {2015},
booktitle = {ASP-DAC},
author = {{Ji Li 006} and {Yanzhi Wang} and {Xue Lin} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {The 20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015, Chiba, Japan, January 19-22, 2015}
}
@inproceedings{conf/aspdac/ShafaeiCWP15,
title = {A cross-layer framework for designing and optimizing deeply-scaled FinFET-based SRAM cells under process variations.},
year = {2015},
booktitle = {ASP-DAC},
author = {{Alireza Shafaei} and {Shuang Chen 001} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {The 20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015, Chiba, Japan, January 19-22, 2015}
}
@inproceedings{conf/ccnc/GuanWLNP15,
title = {Reinforcement learning-based control of residential energy storage systems for electric bill minimization.},
year = {2015},
booktitle = {CCNC},
author = {{Chenxiao Guan} and {Yanzhi Wang} and {Xue Lin} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {12th Annual IEEE Consumer Communications and Networking Conference, CCNC 2015, Las Vegas, NV, USA, January 9-12, 2015}
}
@inproceedings{conf/dac/CuiWCZNP15,
title = {Optimal control of PEVs for energy cost minimization and frequency regulation in the smart grid accounting for battery state-of-health degradation.},
year = {2015},
booktitle = {DAC},
author = {{Tiansong Cui} and {Yanzhi Wang} and {Shuang Chen 001} and {Qi Zhu 002} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}
}
@inproceedings{conf/dac/WangLPC15,
title = {Joint automatic control of the powertrain and auxiliary systems to enhance the electromobility in hybrid electric vehicles.},
year = {2015},
booktitle = {DAC},
author = {{Yanzhi Wang} and {Xue Lin} and {Massoud Pedram} and {Naehyuck Chang}},
publisher = {ACM},
booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}
}
@inproceedings{conf/date/DoustiP15,
title = {Power-efficient control of thermoelectric coolers considering distributed hot spots.},
year = {2015},
booktitle = {DATE},
author = {{Mohammad Javad Dousti} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015}
}
@inproceedings{conf/date/DoustiPP15,
title = {Accurate electrothermal modeling of thermoelectric generators.},
year = {2015},
booktitle = {DATE},
author = {{Mohammad Javad Dousti} and {Antonio Petraglia} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015}
}
@inproceedings{conf/date/KamalIAP15,
title = {A thermal stress-aware algorithm for power and temperature management of MPSoCs.},
year = {2015},
booktitle = {DATE},
author = {{Mehdi Kamal} and {Arman Iranfar} and {Ali Afzali-Kusha} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015}
}
@inproceedings{conf/date/LiXWNP15,
title = {Leakage power reduction for deeply-scaled FinFET circuits operating in multiple voltage regimes using fine-grained gate-length biasing technique.},
year = {2015},
booktitle = {DATE},
author = {{Ji Li 006} and {Qing Xie 001} and {Yanzhi Wang} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015}
}
@inproceedings{conf/date/LinWPKC15,
title = {Event-driven and sensorless photovoltaic system reconfiguration for electric vehicles.},
year = {2015},
booktitle = {DATE},
author = {{Xue Lin} and {Yanzhi Wang} and {Massoud Pedram} and {Jaemin Kim} and {Naehyuck Chang}},
publisher = {ACM},
booktitle = {Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015}
}
@inproceedings{conf/date/XieKBWPC15,
title = {Efficiency-driven design time optimization of a hybrid energy storage system with networked charge transfer interconnect.},
year = {2015},
booktitle = {DATE},
author = {{Qing Xie 001} and {Younghyun Kim 001} and {Donkyu Baek} and {Yanzhi Wang} and {Massoud Pedram} and {Naehyuck Chang}},
publisher = {ACM},
booktitle = {Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015}
}
@inproceedings{conf/date/ZhuCPP15,
title = {TAPP: temperature-aware application mapping for NoC-based many-core processors.},
year = {2015},
booktitle = {DATE},
author = {{Di Zhu 002} and {Lizhong Chen} and {Timothy Mark Pinkston} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015}
}
@inproceedings{conf/glvlsi/BejestanWRXBP15,
title = {Analyzing the Dark Silicon Phenomenon in a Many-Core Chip Multi-Processor under Deeply-Scaled Process Technologies.},
year = {2015},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Alireza Shafaei Bejestan} and {Yanzhi Wang} and {Srikanth Ramadurgam} and {Yuankun Xue} and {Paul Bogdan} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20 - 22, 2015}
}
@inproceedings{conf/glvlsi/CuiCWNP15,
title = {Layout Characterization and Power Density Analysis for Shorted-Gate and Independent-Gate 7nm FinFET Standard Cells.},
year = {2015},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Tiansong Cui} and {Bowen Chen} and {Yanzhi Wang} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20 - 22, 2015}
}
@inproceedings{conf/hpca/ChenZPP15,
title = {Power punch: Towards non-blocking power-gating of NoC routers.},
year = {2015},
booktitle = {HPCA},
author = {{Lizhong Chen} and {Di Zhu 002} and {Massoud Pedram} and {Timothy Mark Pinkston}},
publisher = {IEEE Computer Society},
booktitle = {21st IEEE International Symposium on High Performance Computer Architecture, HPCA 2015, Burlingame, CA, USA, February 7-11, 2015}
}
@inproceedings{conf/iccad/LinBCP15,
title = {Machine Learning-Based Energy Management in a Hybrid Electric Vehicle to Minimize Total Operating Cost.},
year = {2015},
booktitle = {ICCAD},
author = {{Xue Lin} and {Paul Bogdan} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015, Austin, TX, USA, November 2-6, 2015}
}
@inproceedings{conf/islped/DoustiGNP15,
title = {ThermTap: An online power analyzer and thermal simulator for Android devices.},
year = {2015},
booktitle = {ISLPED},
author = {{Mohammad Javad Dousti} and {Majid Ghasemi-Gol} and {Mahdi Nazemi} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2015, Rome, Italy, July 22-24, 2015}
}
@inproceedings{conf/islped/LeeWSNP15,
title = {Design and optimization of a reconfigurable power delivery network for large-area, DVS-enabled OLED displays.},
year = {2015},
booktitle = {ISLPED},
author = {{Woojoo Lee} and {Yanzhi Wang} and {Donghwa Shin} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2015, Rome, Italy, July 22-24, 2015}
}
@inproceedings{conf/islped/ShinCWP15,
title = {Reconfigurable three dimensional photovoltaic panel architecture for solar-powered time extension.},
year = {2015},
booktitle = {ISLPED},
author = {{Donghwa Shin} and {Naehyuck Chang} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2015, Rome, Italy, July 22-24, 2015}
}
@inproceedings{conf/isqed/AbrishamiSWP15,
title = {Optimal choice of FinFET devices for energy minimization in deeply-scaled technologies.},
year = {2015},
booktitle = {ISQED},
author = {{Mohammad Saeed Abrishami} and {Alireza Shafaei} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Sixteenth International Symposium on Quality Electronic Design, ISQED 2015, Santa Clara, CA, USA, March 2-4, 2015}
}
@inproceedings{conf/isqed/ShafaeiWPP15,
title = {Design optimization of sense amplifiers using deeply-scaled FinFET devices.},
year = {2015},
booktitle = {ISQED},
author = {{Alireza Shafaei} and {Yanzhi Wang} and {Antonio Petraglia} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Sixteenth International Symposium on Quality Electronic Design, ISQED 2015, Santa Clara, CA, USA, March 2-4, 2015}
}
@inproceedings{conf/isqed/YarmandEAAP15,
title = {High-performance and high-yield 5 nm underlapped FinFET SRAM design using P-type access transistors.},
year = {2015},
booktitle = {ISQED},
author = {{Roohollah Yarmand} and {Behzad Ebrahimi} and {Hassan Afzali-Kusha} and {Ali Afzali-Kusha} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Sixteenth International Symposium on Quality Electronic Design, ISQED 2015, Santa Clara, CA, USA, March 2-4, 2015}
}
@inproceedings{conf/ivs/LinWBCP15,
title = {Optimizing fuel economy of hybrid electric vehicles using a Markov decision process model.},
year = {2015},
booktitle = {Intelligent Vehicles Symposium},
author = {{Xue Lin} and {Yanzhi Wang} and {Paul Bogdan} and {Naehyuck Chang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2015 IEEE Intelligent Vehicles Symposium, IV 2015, Seoul, South Korea, June 28 - July 1, 2015}
}
@article{journals/cee/AkhlaghiKAP15,
title = {An efficient network on-chip architecture based on isolating local and non-local communications.},
year = {2015},
journal = {Comput. Electr. Eng.},
author = {{Vahideh Akhlaghi} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/ijcta/EbrahimiAAP15,
title = {A FinFET SRAM cell design with BTI robustness at high supply voltages and high yield at low supply voltages.},
year = {2015},
journal = {Int. J. Circuit Theory Appl.},
author = {{Behzad Ebrahimi} and {Reza Asadpour} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/integration/AnsariAENAP15,
title = {A near-threshold 7T SRAM cell with high write and read margins and low write time for sub-20 nm FinFET technologies.},
year = {2015},
journal = {Integr.},
author = {{Mohammad Ansari} and {Hassan Afzali-Kusha} and {Behzad Ebrahimi} and {Zainalabedin Navabi} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/integration/KamalASP15,
title = {Design of NBTI-resilient extensible processors.},
year = {2015},
journal = {Integr.},
author = {{Mehdi Kamal} and {Ali Afzali-Kusha} and {Saeed Safari} and {Massoud Pedram}}
}
@article{journals/integration/TrikiWAP15,
title = {Hierarchical power management of a system with autonomously power-managed components using reinforcement learning.},
year = {2015},
journal = {Integr.},
author = {{Maryam Triki} and {Yanzhi Wang} and {Ahmed Chiheb Ammari} and {Massoud Pedram}}
}
@article{journals/mr/EghbalkhahKAAGP15,
title = {Workload and temperature dependent evaluation of BTI-induced lifetime degradation in digital circuits.},
year = {2015},
journal = {Microelectron. Reliab.},
author = {{Behzad Eghbalkhah} and {Mehdi Kamal} and {Hassan Afzali-Kusha} and {Ali Afzali-Kusha} and {M. B. Ghaznavi-Ghoushchi} and {Massoud Pedram}}
}
@article{journals/mr/EghbalkhahKAGP15,
title = {CSAM: A clock skew-aware aging mitigation technique.},
year = {2015},
journal = {Microelectron. Reliab.},
author = {{Behzad Eghbalkhah} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Mohammad Bagher Ghaznavi Ghoushchi} and {Massoud Pedram}}
}
@article{journals/tcad/LeeWP15,
title = {Optimizing a Reconfigurable Power Distribution Network in a Multicore Platform.},
year = {2015},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Woojoo Lee} and {Yanzhi Wang} and {Massoud Pedram}}
}
@article{journals/tcas/XieLWCDP15,
title = {Performance Comparisons Between 7-nm FinFET and Conventional Bulk CMOS Standard Cell Libraries.},
year = {2015},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Qing Xie 001} and {Xue Lin} and {Yanzhi Wang} and {Shuang Chen 001} and {Mohammad Javad Dousti} and {Massoud Pedram}}
}
@article{journals/tecs/KamalASP15,
title = {OPLE: A Heuristic Custom Instruction Selection Algorithm Based on Partitioning and Local Exploration of Application Dataflow Graphs.},
year = {2015},
journal = {ACM Trans. Embed. Comput. Syst.},
author = {{Mehdi Kamal} and {Ali Afzali-Kusha} and {Saeed Safari} and {Massoud Pedram}}
}
@article{journals/tsc/LinWXP15,
title = {Task Scheduling with Dynamic Voltage and Frequency Scaling for Energy Minimization in the Mobile Cloud Computing Environment.},
year = {2015},
journal = {IEEE Trans. Serv. Comput.},
author = {{Xue Lin} and {Yanzhi Wang} and {Qing Xie 001} and {Massoud Pedram}}
}
@inproceedings{conf/IEEEcloud/ChenP16,
title = {Efficient Peak Shaving in a Data Center by Joint Optimization of Task Assignment and Energy Storage Management.},
year = {2016},
booktitle = {CLOUD},
author = {{Shuang Chen 001} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {9th IEEE International Conference on Cloud Computing, CLOUD 2016, San Francisco, CA, USA, June 27 - July 2, 2016}
}
@inproceedings{conf/IEEEcloud/LinPTW16,
title = {A Profit Optimization Framework of Energy Storage Devices in Data Centers: Hierarchical Structure and Hybrid Types.},
year = {2016},
booktitle = {CLOUD},
author = {{Xue Lin} and {Massoud Pedram} and {Jian Tang 008} and {Yanzhi Wang}},
publisher = {IEEE Computer Society},
booktitle = {9th IEEE International Conference on Cloud Computing, CLOUD 2016, San Francisco, CA, USA, June 27 - July 2, 2016}
}
@inproceedings{conf/aspdac/CuiCWZNP16,
title = {Optimal co-scheduling of HVAC control and battery management for energy-efficient buildings considering state-of-health degradation.},
year = {2016},
booktitle = {ASP-DAC},
author = {{Tiansong Cui} and {Shuang Chen 001} and {Yanzhi Wang} and {Qi Zhu 002} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {21st Asia and South Pacific Design Automation Conference, ASP-DAC 2016, Macao, Macao, January 25-28, 2016}
}
@inproceedings{conf/dac/ShafaeiAP16,
title = {Minimizing the energy-delay product of SRAM arrays using a device-circuit-architecture co-optimization framework.},
year = {2016},
booktitle = {DAC},
author = {{Alireza Shafaei} and {Hassan Afzali-Kusha} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 53rd Annual Design Automation Conference, DAC 2016, Austin, TX, USA, June 5-9, 2016}
}
@inproceedings{conf/date/ShafaeiP16,
title = {Energy-efficient cache memories using a dual-Vt 4T SRAM cell with read-assist techniques.},
year = {2016},
booktitle = {DATE},
author = {{Alireza Shafaei} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2016 Design, Automation & Test in Europe Conference & Exhibition, DATE 2016, Dresden, Germany, March 14-18, 2016}
}
@inproceedings{conf/date/ZendeganiKFASP16,
title = {SEERAD: A high speed yet energy-efficient rounding-based approximate divider.},
year = {2016},
booktitle = {DATE},
author = {{Reza Zendegani} and {Mehdi Kamal} and {Arash Fayyazi} and {Ali Afzali-Kusha} and {Saeed Safari} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2016 Design, Automation & Test in Europe Conference & Exhibition, DATE 2016, Dresden, Germany, March 14-18, 2016}
}
@inproceedings{conf/glvlsi/Afzali-KushaSP16,
title = {Optimizing the Operating Voltage of Tunnel FET-Based SRAM Arrays Equipped with Read/Write Assist Circuitry.},
year = {2016},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Hassan Afzali-Kusha} and {Alireza Shafaei} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 26th edition on Great Lakes Symposium on VLSI, GLVLSI 2016, Boston, MA, USA, May 18-20, 2016}
}
@inproceedings{conf/ic2e/LinWP16,
title = {A Reinforcement Learning-Based Power Management Framework for Green Computing Data Centers.},
year = {2016},
booktitle = {IC2E},
author = {{Xue Lin} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {2016 IEEE International Conference on Cloud Engineering, IC2E 2016, Berlin, Germany, April 4-8, 2016}
}
@inproceedings{conf/iccd/LinXBWGP16,
title = {Power-aware virtual machine mapping in the data-center-on-a-chip paradigm.},
year = {2016},
booktitle = {ICCD},
author = {{Xue Lin} and {Yuankun Xue} and {Paul Bogdan} and {Yanzhi Wang} and {Siddharth Garg} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {34th IEEE International Conference on Computer Design, ICCD 2016, Scottsdale, AZ, USA, October 2-5, 2016}
}
@inproceedings{conf/isqed/CuiLSNP16,
title = {An efficient timing analysis model for 6T FinFET SRAM using current-based method.},
year = {2016},
booktitle = {ISQED},
author = {{Tiansong Cui} and {Ji Li 006} and {Alireza Shafaei} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {17th International Symposium on Quality Electronic Design, ISQED 2016, Santa Clara, CA, USA, March 15-16, 2016}
}
@inproceedings{conf/isqed/LiWLNP16,
title = {Negotiation-based resource provisioning and task scheduling algorithm for cloud systems.},
year = {2016},
booktitle = {ISQED},
author = {{Ji Li 006} and {Yanzhi Wang} and {Xue Lin} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {17th International Symposium on Quality Electronic Design, ISQED 2016, Santa Clara, CA, USA, March 15-16, 2016}
}
@inproceedings{conf/isqed/ShafaeiWCCP16,
title = {Maximizing the performance of NoC-based MPSoCs under total power and power density constraints.},
year = {2016},
booktitle = {ISQED},
author = {{Alireza Shafaei} and {Yanzhi Wang} and {Lizhong Chen} and {Shuang Chen 001} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {17th International Symposium on Quality Electronic Design, ISQED 2016, Santa Clara, CA, USA, March 15-16, 2016}
}
@inproceedings{conf/socc/WangCNWP16,
title = {Standard cell library based layout characterization and power analysis for 10nm gate-all-around (GAA) transistors.},
year = {2016},
booktitle = {SoCC},
author = {{Luhao Wang} and {Tiansong Cui} and {Shahin Nazarian} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {29th IEEE International System-on-Chip Conference, SOCC 2016, Seattle, WA, USA, September 6-9, 2016}
}
@inproceedings{conf/vlsi/HemmatKAP16,
title = {Hybrid TFET-MOSFET circuits: An approach to design reliable ultra-low power circuits in the presence of process variation.},
year = {2016},
booktitle = {VLSI-SoC},
author = {{Maede Hemmat} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2016 IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2016, Tallinn, Estonia, September 26-28, 2016}
}
@inproceedings{conf/vlsi/HemmatKAP16a,
title = {Robust Hybrid TFET-MOSFET Circuits in Presence of Process Variations and Soft Errors.},
year = {2016},
booktitle = {VLSI-SoC (Selected Papers)},
author = {{Maedeh Hemmat} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}},
publisher = {Springer},
booktitle = {VLSI-SoC: System-on-Chip in the Nanoscale Era - Design, Verification and Reliability - 24th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2016, Tallinn, Estonia, September 26-28, 2016, Revised Selected Papers}
}
@article{journals/ac/GoudarziP16,
title = {Achieving Energy Efficiency in Datacenters by Virtual Machine Sizing, Replication, and Placement.},
year = {2016},
journal = {Adv. Comput.},
author = {{Hadi Goudarzi} and {Massoud Pedram}}
}
@article{journals/integration/BahadoriKAP16,
title = {A comparative study on performance and reliability of 32-bit binary adders.},
year = {2016},
journal = {Integr.},
author = {{Milad Bahadori} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/jpdc/ChenZPP16,
title = {Simulation of NoC power-gating: Requirements, optimizations, and the Agate simulator.},
year = {2016},
journal = {J. Parallel Distributed Comput.},
author = {{Lizhong Chen} and {Di Zhu 002} and {Massoud Pedram} and {Timothy Mark Pinkston}}
}
@article{journals/mr/KamalXPAS16,
title = {An efficient temperature dependent hot carrier injection reliability simulation flow.},
year = {2016},
journal = {Microelectron. Reliab.},
author = {{Mehdi Kamal} and {Qing Xie 001} and {Massoud Pedram} and {Ali Afzali-Kusha} and {Saeed Safari}}
}
@article{journals/qic/DoustiSP16,
title = {Squash 2: a hierarchical scalable quantum mapper considering ancilla sharing.},
year = {2016},
journal = {Quantum Inf. Comput.},
author = {{Mohammad Javad Dousti} and {Alireza Shafaei} and {Massoud Pedram}}
}
@article{journals/tc/WangP16,
title = {Model-Free Reinforcement Learning and Bayesian Classification in System-Level Power Management.},
year = {2016},
journal = {IEEE Trans. Computers},
author = {{Yanzhi Wang} and {Massoud Pedram}}
}
@article{journals/tc/ZhuCYPP16,
title = {Providing Balanced Mapping for Multiple Applications in Many-Core Chip Multiprocessors.},
year = {2016},
journal = {IEEE Trans. Computers},
author = {{Di Zhu 002} and {Lizhong Chen} and {Siyu Yue} and {Timothy Mark Pinkston} and {Massoud Pedram}}
}
@article{journals/tcad/BalefKAP16,
title = {All-Region Statistical Model for Delay Variation Based on Log-Skew-Normal Distribution.},
year = {2016},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Hadi Ahmadi Balef} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tcad/LinWCP16,
title = {Concurrent Task Scheduling and Dynamic Voltage and Frequency Scaling in a Real-Time Embedded System With Energy Harvesting.},
year = {2016},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Xue Lin} and {Yanzhi Wang} and {Naehyuck Chang} and {Massoud Pedram}}
}
@article{journals/tcad/XieSCP16,
title = {Joint Charge and Thermal Management for Batteries in Portable Systems With Hybrid Power Sources.},
year = {2016},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Qing Xie 001} and {Donghwa Shin} and {Naehyuck Chang} and {Massoud Pedram}}
}
@article{journals/tcad/ZhuYCP16,
title = {Toward a Profitable Grid-Connected Hybrid Electrical Energy Storage System for Residential Use.},
year = {2016},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Di Zhu 002} and {Siyu Yue} and {Naehyuck Chang} and {Massoud Pedram}}
}
@article{journals/tcc/GoudarziP16,
title = {Hierarchical SLA-Driven Resource Management for Peak Power-Aware and Energy-Efficient Operation of a Cloud Datacenter.},
year = {2016},
journal = {IEEE Trans. Cloud Comput.},
author = {{Hadi Goudarzi} and {Massoud Pedram}}
}
@article{journals/todaes/KamalASP16,
title = {Yield and Speedup Improvements in Extensible Processors by Allocating Extra Cycles to Some Custom Instructions.},
year = {2016},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Mehdi Kamal} and {Ali Afzali-Kusha} and {Saeed Safari} and {Massoud Pedram}}
}
@article{journals/tvlsi/BahadoriKAP16,
title = {High-Speed and Energy-Efficient Carry Skip Adder Operating Under a Wide Range of Supply Voltage Levels.},
year = {2016},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Milad Bahadori} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tvlsi/HwangP16,
title = {A Comparative Study of the Effectiveness of CPU Consolidation Versus Dynamic Voltage and Frequency Scaling in a Virtualized Multicore Server.},
year = {2016},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Inkwon Hwang} and {Massoud Pedram}}
}
@inproceedings{conf/asap/NazemiNP17,
title = {High-performance FPGA implementation of equivariant adaptive separation via independence algorithm for Independent Component Analysis.},
year = {2017},
booktitle = {ASAP},
author = {{Mahdi Nazemi} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {28th IEEE International Conference on Application-specific Systems, Architectures and Processors, ASAP 2017, Seattle, WA, USA, July 10-12, 2017}
}
@inproceedings{conf/aspdac/KatamSP17,
title = {Design of multiple fanout clock distribution network for rapid single flux quantum technology.},
year = {2017},
booktitle = {ASP-DAC},
author = {{Naveen Katam} and {Alireza Shafaei} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {22nd Asia and South Pacific Design Automation Conference, ASP-DAC 2017, Chiba, Japan, January 16-19, 2017}
}
@inproceedings{conf/date/BanaGozarMKAP17,
title = {Robust neuromorphic computing in the presence of process variation.},
year = {2017},
booktitle = {DATE},
author = {{Ali BanaGozar} and {Mohammad Ali Maleki} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2017, Lausanne, Switzerland, March 27-31, 2017}
}
@inproceedings{conf/date/ShahsavaniSNP17,
title = {A thermally-aware energy minimization methodology for global interconnects.},
year = {2017},
booktitle = {DATE},
author = {{Soheil Nazar Shahsavani} and {Alireza Shafaei} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2017, Lausanne, Switzerland, March 27-31, 2017}
}
@inproceedings{conf/date/VahdatKAPN17,
title = {TruncApp: A truncation-based approximate divider for energy efficient DSP applications.},
year = {2017},
booktitle = {DATE},
author = {{Shaghayegh Vahdat} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram} and {Zainalabedin Navabi}},
publisher = {IEEE},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2017, Lausanne, Switzerland, March 27-31, 2017}
}
@inproceedings{conf/green/WangCP17,
title = {Context-driven power management in cache-enabled base stations using a Bayesian neural network.},
year = {2017},
booktitle = {IGSC},
author = {{Luhao Wang} and {Shuang Chen 001} and {Massoud Pedram}},
publisher = {IEEE Computer Society},
booktitle = {Eighth International Green and Sustainable Computing Conference, IGSC 2017, Orlando, FL, USA, October 23-25, 2017}
}
@inproceedings{conf/hpca/Abdel-MajeedSJP17,
title = {Pilot Register File: Energy Efficient Partitioned Register File for GPUs.},
year = {2017},
booktitle = {HPCA},
author = {{Mohammad Abdel-Majeed} and {Alireza Shafaei} and {Hyeran Jeon} and {Massoud Pedram} and {Murali Annavaram}},
publisher = {IEEE Computer Society},
booktitle = {2017 IEEE International Symposium on High Performance Computer Architecture, HPCA 2017, Austin, TX, USA, February 4-8, 2017}
}
@inproceedings{conf/iccd/CaiRWPW17,
title = {Hardware Acceleration of Bayesian Neural Networks Using RAM Based Linear Feedback Gaussian Random Number Generators.},
year = {2017},
booktitle = {ICCD},
author = {{Ruizhe Cai} and {Ao Ren} and {Luhao Wang} and {Massoud Pedram} and {Yanzhi Wang}},
publisher = {IEEE Computer Society},
booktitle = {2017 IEEE International Conference on Computer Design, ICCD 2017, Boston, MA, USA, November 5-8, 2017}
}
@inproceedings{conf/mwscas/WangSP17,
title = {Gate-all-around FET based 6T SRAM design using a device-circuit co-optimization framework.},
year = {2017},
booktitle = {MWSCAS},
author = {{Luhao Wang} and {Alireza Shafaei} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE 60th International Midwest Symposium on Circuits and Systems, MWSCAS 2017, Boston, MA, USA, August 6-9, 2017}
}
@article{journals/cee/BahadoriKAP17,
title = {An energy and area efficient yet high-speed square-root carry select adder structure.},
year = {2017},
journal = {Comput. Electr. Eng.},
author = {{Milad Bahadori} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/cee/VahdatKAP17,
title = {LETAM: A low energy truncation-based approximate multiplier.},
year = {2017},
journal = {Comput. Electr. Eng.},
author = {{Shaghayegh Vahdat} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/iet-cps/LiLNP17,
title = {CTS2M: concurrent task scheduling and storage management for residential energy consumers under dynamic energy pricing.},
year = {2017},
journal = {IET Cyper-Phys. Syst.: Theory & Appl.},
author = {{Ji Li 006} and {Xue Lin} and {Shahin Nazarian} and {Massoud Pedram}}
}
@article{journals/iet-cps/ZhaoLWCP17,
title = {Hierarchical resource allocation and consolidation framework in a multi-core server cluster using a Markov decision process model.},
year = {2017},
journal = {IET Cyper-Phys. Syst.: Theory & Appl.},
author = {{Pu Zhao 001} and {Xue Lin} and {Yanzhi Wang} and {Shuang Chen 001} and {Massoud Pedram}}
}
@article{journals/integration/CuiCWZNP17,
title = {An optimal energy co-scheduling framework for smart buildings.},
year = {2017},
journal = {Integr.},
author = {{Tiansong Cui} and {Shuang Chen 001} and {Yanzhi Wang} and {Qi Zhu 002} and {Shahin Nazarian} and {Massoud Pedram}}
}
@article{journals/integration/HemmatKAP17,
title = {Hybrid TFET-MOSFET circuit: A solution to design soft-error resilient ultra-low power digital circuit.},
year = {2017},
journal = {Integr.},
author = {{Maede Hemmat} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tcps/CuiCWNP17,
title = {Optimal Control of PEVs with a Charging Aggregator Considering Regulation Service Provisioning.},
year = {2017},
journal = {ACM Trans. Cyber Phys. Syst.},
author = {{Tiansong Cui} and {Shuang Chen 001} and {Yanzhi Wang} and {Shahin Nazarian} and {Massoud Pedram}}
}
@article{journals/todaes/LeeHWCNP17,
title = {TEI-power: Temperature Effect Inversion-Aware Dynamic Thermal Management.},
year = {2017},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Woojoo Lee} and {Kyuseung Han} and {Yanzhi Wang} and {Tiansong Cui} and {Shahin Nazarian} and {Massoud Pedram}}
}
@article{journals/todaes/ZhuYPC17,
title = {CALM: Contention-Aware Latency-Minimal Application Mapping for Flattened Butterfly On-Chip Networks.},
year = {2017},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Di Zhu 002} and {Siyu Yue} and {Massoud Pedram} and {Lizhong Chen}}
}
@article{journals/tvlsi/AbolmaaliMKAP17,
title = {Efficient Critical Path Identification Based on Viability Analysis Method Considering Process Variations.},
year = {2017},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Sheis Abolma'ali} and {Nika Mansouri-Ghiasi} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tvlsi/AkbariKAP17,
title = {Dual-Quality 4: 2 Compressors for Utilizing in Dynamic Accuracy Configurable Multipliers.},
year = {2017},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Omid Akbari} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tvlsi/ZendeganiKBAP17,
title = {RoBA Multiplier: A Rounding-Based Approximate Multiplier for High-Speed yet Energy-Efficient Digital Signal Processing.},
year = {2017},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Reza Zendegani} and {Mehdi Kamal} and {Milad Bahadori} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@inproceedings{conf/asplos/CaiRLDWQPW18,
title = {VIBNN: Hardware Acceleration of Bayesian Neural Networks.},
year = {2018},
booktitle = {ASPLOS},
author = {{Ruizhe Cai} and {Ao Ren} and {Ning Liu 007} and {Caiwen Ding} and {Luhao Wang} and {Xuehai Qian} and {Massoud Pedram} and {Yanzhi Wang}},
publisher = {ACM},
booktitle = {Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2018, Williamsburg, VA, USA, March 24-28, 2018}
}
@inproceedings{conf/date/AkbariKAPS18,
title = {PX-CGRA: Polymorphic approximate coarse-grained reconfigurable architecture.},
year = {2018},
booktitle = {DATE},
author = {{Omid Akbari} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram} and {Muhammad Shafique 001}},
publisher = {IEEE},
booktitle = {2018 Design, Automation & Test in Europe Conference & Exhibition, DATE 2018, Dresden, Germany, March 19-23, 2018}
}
@inproceedings{conf/date/LinLNLDWP18,
title = {FFT-based deep learning deployment in embedded systems.},
year = {2018},
booktitle = {DATE},
author = {{Sheng Lin 001} and {Ning Liu 007} and {Mahdi Nazemi} and {Hongjia Li} and {Caiwen Ding} and {Yanzhi Wang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2018 Design, Automation & Test in Europe Conference & Exhibition, DATE 2018, Dresden, Germany, March 19-23, 2018}
}
@inproceedings{conf/date/ShahsavaniSP18,
title = {A placement algorithm for superconducting logic circuits based on cell grouping and super-cell placement.},
year = {2018},
booktitle = {DATE},
author = {{Soheil Nazar Shahsavani} and {Alireza Shafaei} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2018 Design, Automation & Test in Europe Conference & Exhibition, DATE 2018, Dresden, Germany, March 19-23, 2018}
}
@inproceedings{conf/date/ShahsavaniZP18,
title = {Accurate margin calculation for single flux quantum logic cells.},
year = {2018},
booktitle = {DATE},
author = {{Soheil Nazar Shahsavani} and {Bo Zhang 098} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2018 Design, Automation & Test in Europe Conference & Exhibition, DATE 2018, Dresden, Germany, March 19-23, 2018}
}
@inproceedings{conf/glvlsi/Afzali-KushaAKP18,
title = {Energy Consumption and Lifetime Improvement of Coarse-Grained Reconfigurable Architectures Targeting Low-Power Error-Tolerant Applications.},
year = {2018},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Hassan Afzali-Kusha} and {Omid Akbari} and {Mehdi Kamal} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, GLSVLSI 2018, Chicago, IL, USA, May 23-25, 2018}
}
@inproceedings{conf/glvlsi/EshratifarP18,
title = {Energy and Performance Efficient Computation Offloading for Deep Neural Networks in a Mobile Cloud Computing Environment.},
year = {2018},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Amir Erfan Eshratifar} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, GLSVLSI 2018, Chicago, IL, USA, May 23-25, 2018}
}
@inproceedings{conf/green/Pedram18,
title = {Energy-Efficient Computing: Datacenters, Mobile Devices, and Mobile Clouds.},
year = {2018},
booktitle = {IGSC},
author = {{Massoud Pedram}},
publisher = {IEEE},
booktitle = {Ninth International Green and Sustainable Computing Conference, IGSC 2018, Pittsburgh, PA, USA, October 22-24, 2018}
}
@inproceedings{conf/icc/WangCP18,
title = {Power Management of Cache-Enabled Cooperative Base Stations Towards Zero Grid Energy.},
year = {2018},
booktitle = {ICC},
author = {{Luhao Wang} and {Shuang Chen 001} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2018 IEEE International Conference on Communications, ICC 2018, Kansas City, MO, USA, May 20-24, 2018}
}
@inproceedings{conf/iccad/PedramW18,
title = {Design automation methodology and tools for superconductive electronics.},
year = {2018},
booktitle = {ICCAD},
author = {{Massoud Pedram} and {Yanzhi Wang}},
publisher = {ACM},
booktitle = {Proceedings of the International Conference on Computer-Aided Design, ICCAD 2018, San Diego, CA, USA, November 05-08, 2018}
}
@inproceedings{conf/iscas/BeerelP18,
title = {Opportunities for Machine Learning in Electronic Design Automation.},
year = {2018},
booktitle = {ISCAS},
author = {{Peter A. Beerel} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2018, 27-30 May 2018, Florence, Italy}
}
@inproceedings{conf/iscas/BogdanP18,
title = {Toward Enabling Automated Cognition and Decision-Making in Complex Cyber-Physical Systems.},
year = {2018},
booktitle = {ISCAS},
author = {{Paul Bogdan} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2018, 27-30 May 2018, Florence, Italy}
}
@inproceedings{conf/iscas/PasandiSP18,
title = {SFQmap: A Technology Mapping Tool for Single Flux Quantum Logic Circuits.},
year = {2018},
booktitle = {ISCAS},
author = {{Ghasem Pasandi} and {Alireza Shafaei} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2018, 27-30 May 2018, Florence, Italy}
}
@inproceedings{conf/islped/NazemiP18,
title = {Deploying Customized Data Representation and Approximate Computing in Machine Learning Applications.},
year = {2018},
booktitle = {ISLPED},
author = {{Mahdi Nazemi} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the International Symposium on Low Power Electronics and Design, ISLPED 2018, Seattle, WA, USA, July 23-25, 2018}
}
@inproceedings{conf/islped/VaeztourshiziKA18,
title = {An Energy-Efficient, Yet Highly-Accurate, Approximate Non-Iterative Divider.},
year = {2018},
booktitle = {ISLPED},
author = {{Marzieh Vaeztourshizi} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the International Symposium on Low Power Electronics and Design, ISLPED 2018, Seattle, WA, USA, July 23-25, 2018}
}
@inproceedings{conf/isqed/Afzali-KushaSP18,
title = {A 125mV 2ns-access-time 16Kb SRAM design based on a 6T hybrid TFET-FinFET cell.},
year = {2018},
booktitle = {ISQED},
author = {{Hassan Afzali-Kusha} and {Alireza Shafaei} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {19th International Symposium on Quality Electronic Design, ISQED 2018, Santa Clara, CA, USA, March 13-14, 2018}
}
@inproceedings{conf/isqed/NazemiEP18,
title = {A hardware-friendly algorithm for scalable training and deployment of dimensionality reduction models on FPGA.},
year = {2018},
booktitle = {ISQED},
author = {{Mahdi Nazemi} and {Amir Erfan Eshratifar} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {19th International Symposium on Quality Electronic Design, ISQED 2018, Santa Clara, CA, USA, March 13-14, 2018}
}
@article{journals/corr/abs-1807-08716,
title = {NullaNet: Training Deep Neural Networks for Reduced-Memory-Access Inference.},
year = {2018},
journal = {CoRR},
author = {{Mahdi Nazemi} and {Ghasem Pasandi} and {Massoud Pedram}}
}
@article{journals/corr/abs-1809-03476,
title = {SpRRAM: A Predefined Sparsity Based Memristive Neuromorphic Circuit for Low Power Application.},
year = {2018},
journal = {CoRR},
author = {{Arash Fayyazi} and {Souvik Kundu 002} and {Shahin Nazarian} and {Peter A. Beerel} and {Massoud Pedram}}
}
@article{journals/corr/abs-1810-00134,
title = {A Graph Partitioning Algorithm with Application in Synthesizing Single Flux Quantum Logic Circuits.},
year = {2018},
journal = {CoRR},
author = {{Ghasem Pasandi} and {Massoud Pedram}}
}
@article{journals/corr/abs-1810-08178,
title = {Gradient Agreement as an Optimization Objective for Meta-Learning.},
year = {2018},
journal = {CoRR},
author = {{Amir Erfan Eshratifar} and {David Eigen} and {Massoud Pedram}}
}
@article{journals/corr/abs-1812-10006,
title = {PBMap: A Path Balancing Technology Mapping Algorithm for Single Flux Quantum Logic Circuits.},
year = {2018},
journal = {CoRR},
author = {{Ghasem Pasandi} and {Massoud Pedram}}
}
@article{journals/esticas/Afzali-KushaAKP18,
title = {Energy and Reliability Improvement of Voltage-Based, Clustered, Coarse-Grain Reconfigurable Architectures by Employing Quality-Aware Mapping.},
year = {2018},
journal = {IEEE J. Emerg. Sel. Topics Circuits Syst.},
author = {{Hassan Afzali-Kusha} and {Omid Akbari} and {Mehdi Kamal} and {Massoud Pedram}}
}
@article{journals/iet-cds/PasandiP18,
title = {Internal write-back and read-before-write schemes to eliminate the disturbance to the half-selected cells in SRAMs.},
year = {2018},
journal = {IET Circuits Devices Syst.},
author = {{Ghasem Pasandi} and {Massoud Pedram}}
}
@article{journals/integration/NakhaeeKAPFD18,
title = {Lifetime improvement by exploiting aggressive voltage scaling during runtime of error-resilient applications.},
year = {2018},
journal = {Integr.},
author = {{Farzaneh Nakhaee} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram} and {Sied Mehdi Fakhraie} and {Hamed Dorosti}}
}
@article{journals/iotj/FayyaziAKAP18,
title = {An Ultra Low-Power Memristive Neuromorphic Circuit for Internet of Things Smart Sensors.},
year = {2018},
journal = {IEEE Internet Things J.},
author = {{Arash Fayyazi} and {Mohammad Ansari} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/micro/AkbariKAPS18,
title = {Toward Approximate Computing for Coarse-Grained Reconfigurable Architectures.},
year = {2018},
journal = {IEEE Micro},
author = {{Omid Akbari} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram} and {Muhammad Shafique 001}}
}
@article{journals/tcad/AnsariFBMKAP18,
title = {PHAX: Physical Characteristics Aware Ex-Situ Training Framework for Inverter-Based Memristive Neuromorphic Circuits.},
year = {2018},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Mohammad Ansari} and {Arash Fayyazi} and {Ali BanaGozar} and {Mohammad Ali Maleki} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tcad/HanLLLP18,
title = {TEI-NoC: Optimizing Ultralow Power NoCs Exploiting the Temperature Effect Inversion.},
year = {2018},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Kyuseung Han} and {Jae-Jin Lee} and {Jinho Lee} and {Woojoo Lee} and {Massoud Pedram}}
}
@article{journals/tcad/IranfarKAPA18,
title = {TheSPoT: Thermal Stress-Aware Power and Temperature Management for Multiprocessor Systems-on-Chip.},
year = {2018},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Arman Iranfar} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram} and {David Atienza}}
}
@article{journals/tcas/AkbariKAP18,
title = {RAP-CLA: A Reconfigurable Approximate Carry Look-Ahead Adder.},
year = {2018},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Omid Akbari} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tetc/CuiLWNP18,
title = {An Exploration of Applying Gate-Length-Biasing Techniques to Deeply-Scaled FinFETs Operating in Multiple Voltage Regimes.},
year = {2018},
journal = {IEEE Trans. Emerg. Top. Comput.},
author = {{Tiansong Cui} and {Ji Li 006} and {Yanzhi Wang} and {Shahin Nazarian} and {Massoud Pedram}}
}
@article{journals/todaes/AbolmaaliKAP18,
title = {An Efficient False Path-Aware Heuristic Critical Path Selection Method with High Coverage of the Process Variation Space.},
year = {2018},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Sheis Abolma'ali} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tsc/HwangP18,
title = {Hierarchical, Portfolio Theory-Based Virtual Machine Consolidation in a Compute Cloud.},
year = {2018},
journal = {IEEE Trans. Serv. Comput.},
author = {{Inkwon Hwang} and {Massoud Pedram}}
}
@article{journals/tvlsi/PashaeifarKAP18,
title = {Approximate Reverse Carry Propagate Adder for Energy-Efficient DSP Applications.},
year = {2018},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Masoud Pashaeifar} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@inproceedings{conf/aaai/EshratifarAEP19,
title = {A Meta-Learning Approach for Custom Model Training.},
year = {2019},
booktitle = {AAAI},
author = {{Amir Erfan Eshratifar} and {Mohammad Saeed Abrishami} and {David Eigen} and {Massoud Pedram}},
publisher = {AAAI Press},
booktitle = {The Thirty-Third AAAI Conference on Artificial Intelligence, AAAI 2019, The Thirty-First Innovative Applications of Artificial Intelligence Conference, IAAI 2019, The Ninth AAAI Symposium on Educational Advances in Artificial Intelligence, EAAI 2019, Honolulu, Hawaii, USA, January 27 - February 1, 2019.}
}
@inproceedings{conf/aspdac/EsmailiNP19,
title = {Modeling processor idle times in MPSoC platforms to enable integrated DPM, DVFS, and task scheduling subject to a hard deadline.},
year = {2019},
booktitle = {ASP-DAC},
author = {{Amirhossein Esmaili} and {Mahdi Nazemi} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 24th Asia and South Pacific Design Automation Conference, ASPDAC 2019, Tokyo, Japan, January 21-24, 2019}
}
@inproceedings{conf/aspdac/NazemiPP19,
title = {Energy-efficient, low-latency realization of neural networks through boolean logic minimization.},
year = {2019},
booktitle = {ASP-DAC},
author = {{Mahdi Nazemi} and {Ghasem Pasandi} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 24th Asia and South Pacific Design Automation Conference, ASPDAC 2019, Tokyo, Japan, January 21-24, 2019}
}
@inproceedings{conf/date/FayyaziSNNP19,
title = {Deep Learning-Based Circuit Recognition Using Sparse Mapping and Level-Dependent Decaying Sum Circuit Representations.},
year = {2019},
booktitle = {DATE},
author = {{Arash Fayyazi} and {Soheil Shababi} and {Pierluigi Nuzzo 002} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2019, Florence, Italy, March 25-29, 2019}
}
@inproceedings{conf/glvlsi/PasandiP19,
title = {Balanced Factorization and Rewriting Algorithms for Synthesizing Single Flux Quantum Logic Circuits.},
year = {2019},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Ghasem Pasandi} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the 2019 on Great Lakes Symposium on VLSI, GLSVLSI 2019, Tysons Corner, VA, USA, May 9-11, 2019}
}
@inproceedings{conf/glvlsi/SinghGGFPN19,
title = {A Hybrid Framework for Functional Verification using Reinforcement Learning and Deep Learning.},
year = {2019},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Karunveer Singh} and {Rishabh Gupta} and {Vikram Gupta} and {Arash Fayyazi} and {Massoud Pedram} and {Shahin Nazarian}},
publisher = {ACM},
booktitle = {Proceedings of the 2019 on Great Lakes Symposium on VLSI, GLSVLSI 2019, Tysons Corner, VA, USA, May 9-11, 2019}
}
@inproceedings{conf/iccad/PasandiP19,
title = {A Dynamic Programming-Based, Path Balancing Technology Mapping Algorithm Targeting Area Minimization.},
year = {2019},
booktitle = {ICCAD},
author = {{Ghasem Pasandi} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {Proceedings of the International Conference on Computer-Aided Design, ICCAD 2019, Westminster, CO, USA, November 4-7, 2019}
}
@inproceedings{conf/iccd/AbrishamiPN19,
title = {CSM-NN: Current Source Model Based Logic Circuit Simulation - A Neural Network Approach.},
year = {2019},
booktitle = {ICCD},
author = {{Mohammad Saeed Abrishami} and {Massoud Pedram} and {Shahin Nazarian}},
publisher = {IEEE},
booktitle = {37th IEEE International Conference on Computer Design, ICCD 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}
}
@inproceedings{conf/iccd/NazarianFP19,
title = {qCG: A Low-Power Multi-Domain SFQ Logic Design and Verification Framework.},
year = {2019},
booktitle = {ICCD},
author = {{Shahin Nazarian} and {Arash Fayyazi} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {37th IEEE International Conference on Computer Design, ICCD 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}
}
@inproceedings{conf/islped/EshratifarEP19,
title = {BottleNet: A Deep Learning Architecture for Intelligent Mobile Cloud Computing Services.},
year = {2019},
booktitle = {ISLPED},
author = {{Amir Erfan Eshratifar} and {Amirhossein Esmaili} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2019 IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2019, Lausanne, Switzerland, July 29-31, 2019}
}
@inproceedings{conf/islped/HanLLLP19,
title = {TIP: A Temperature Effect Inversion-Aware Ultra-Low Power System-on-Chip Platform.},
year = {2019},
booktitle = {ISLPED},
author = {{Kyuseung Han} and {Sukho Lee} and {Jae-Jin Lee} and {Woojoo Lee} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2019 IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2019, Lausanne, Switzerland, July 29-31, 2019}
}
@inproceedings{conf/isqed/EshratifarEP19,
title = {Towards Collaborative Intelligence Friendly Architectures for Deep Learning.},
year = {2019},
booktitle = {ISQED},
author = {{Amir Erfan Eshratifar} and {Amirhossein Esmaili} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {20th International Symposium on Quality Electronic Design, ISQED 2019, Santa Clara, CA, USA, March 6-7, 2019}
}
@inproceedings{conf/isqed/PasandiNP19,
title = {Approximate Logic Synthesis: A Reinforcement Learning-Based Technology Mapping Approach.},
year = {2019},
booktitle = {ISQED},
author = {{Ghasem Pasandi} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {20th International Symposium on Quality Electronic Design, ISQED 2019, Santa Clara, CA, USA, March 6-7, 2019}
}
@inproceedings{conf/isqed/WongSSFPN19,
title = {VeriSFQ: A Semi-formal Verification Framework and Benchmark for Single Flux Quantum Technology.},
year = {2019},
booktitle = {ISQED},
author = {{Alvin D. Wong} and {Kevin Su} and {Hang Sun} and {Arash Fayyazi} and {Massoud Pedram} and {Shahin Nazarian}},
publisher = {IEEE},
booktitle = {20th International Symposium on Quality Electronic Design, ISQED 2019, Santa Clara, CA, USA, March 6-7, 2019}
}
@inproceedings{conf/isqed/YanSFPPN19,
title = {kNN-CAM: A k-Nearest Neighbors-based Configurable Approximate Floating Point Multiplier.},
year = {2019},
booktitle = {ISQED},
author = {{Ming Yan} and {Yuntao Song} and {Yiyu Feng} and {Ghasem Pasandi} and {Massoud Pedram} and {Shahin Nazarian}},
publisher = {IEEE},
booktitle = {20th International Symposium on Quality Electronic Design, ISQED 2019, Santa Clara, CA, USA, March 6-7, 2019}
}
@inproceedings{conf/isvlsi/FayyaziKNBP19,
title = {CSrram: Area-Efficient Low-Power Ex-Situ Training Framework for Memristive Neuromorphic Circuits Based on Clustered Sparsity.},
year = {2019},
booktitle = {ISVLSI},
author = {{Arash Fayyazi} and {Souvik Kundu 002} and {Shahin Nazarian} and {Peter A. Beerel} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2019 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2019, Miami, FL, USA, July 15-17, 2019}
}
@inproceedings{conf/isvlsi/ShahsavaniP19,
title = {A Hyper-Parameter Based Margin Calculation Algorithm for Single Flux Quantum Logic Cells.},
year = {2019},
booktitle = {ISVLSI},
author = {{Soheil Nazar Shahsavani} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2019 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2019, Miami, FL, USA, July 15-17, 2019}
}
@article{journals/cal/LinLPC19,
title = {Design Space Exploration of Memory Controller Placement in Throughput Processors with Deep Learning.},
year = {2019},
journal = {IEEE Comput. Archit. Lett.},
author = {{Ting-Ru Lin} and {Yunfan Li 002} and {Massoud Pedram} and {Lizhong Chen}}
}
@article{journals/corr/abs-1901-00952,
title = {Space Expansion of Feature Selection for Designing more Accurate Error Predictors.},
year = {2019},
journal = {CoRR},
author = {{Shayan Tabatabaei Nikkhah} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/corr/abs-1905-04423,
title = {Optimizing Routerless Network-on-Chip Designs: An Innovative Learning-Based Framework.},
year = {2019},
journal = {CoRR},
author = {{Ting-Ru Lin} and {Drew Penney} and {Massoud Pedram} and {Lizhong Chen}}
}
@article{journals/iet-cds/PasandiMEFAP19,
title = {Low-power data encoding/decoding for energy-efficient static random access memory design.},
year = {2019},
journal = {IET Circuits Devices Syst.},
author = {{Ghasem Pasandi} and {Kolsoom Mehrabi} and {Behzad Ebrahimi} and {Sied Mehdi Fakhraei} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/iet-cps/WangP19,
title = {QoS guaranteed online management of battery swapping station under dynamic energy pricing.},
year = {2019},
journal = {IET Cyper-Phys. Syst.: Theory & Appl.},
author = {{Luhao Wang} and {Massoud Pedram}}
}
@article{journals/tcad/LeeKLHKP19,
title = {TEI-ULP: Exploiting Body Biasing to Improve the TEI-Aware Ultralow Power Methods.},
year = {2019},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Woojoo Lee} and {Taewook Kang} and {Jae-Jin Lee} and {Kyuseung Han} and {Joongheon Kim} and {Massoud Pedram}}
}
@article{journals/tcad/NikkhahZKAP19,
title = {ACHILLES: Accuracy-Aware High-Level Synthesis Considering Online Quality Management.},
year = {2019},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Shayan Tabatabaei Nikkhah} and {Mahdi Zahedi} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tcas/AnsariFKAP19,
title = {OCTAN: An On-Chip Training Algorithm for Memristive Neuromorphic Circuits.},
year = {2019},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Mohammad Ansari} and {Arash Fayyazi} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tcas/PasandiMPN19,
title = {Hybrid Cell Assignment and Sizing for Power, Area, Delay-Product Optimization of SRAM Arrays.},
year = {2019},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Ghasem Pasandi} and {Raghav Mehta} and {Massoud Pedram} and {Shahin Nazarian}}
}
@article{journals/tcas/PashaeifarKAP19,
title = {A Theoretical Framework for Quality Estimation and Optimization of DSP Applications Using Low-Power Approximate Adders.},
year = {2019},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Masoud Pashaeifar} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tvlsi/VahdatKAP19,
title = {TOSAM: An Energy-Efficient Truncation- and Rounding-Based Scalable Approximate Multiplier.},
year = {2019},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Shaghayegh Vahdat} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@inproceedings{conf/dac/ShahsavaniP20,
title = {TDP-ADMM: A Timing Driven Placement Approach for Superconductive Electronic Circuits Using Alternating Direction Method of Multipliers.},
year = {2020},
booktitle = {DAC},
author = {{Soheil Nazar Shahsavani} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {57th ACM/IEEE Design Automation Conference, DAC 2020, San Francisco, CA, USA, July 20-24, 2020}
}
@inproceedings{conf/dac/SunZGPLL20,
title = {3D CNN Acceleration on FPGA using Hardware-Aware Pruning.},
year = {2020},
booktitle = {DAC},
author = {{Mengshu Sun} and {Pu Zhao 001} and {Mehmet Güngör} and {Massoud Pedram} and {Miriam Leeser} and {Xue Lin}},
publisher = {IEEE},
booktitle = {57th ACM/IEEE Design Automation Conference, DAC 2020, San Francisco, CA, USA, July 20-24, 2020}
}
@inproceedings{conf/date/KatamZP20,
title = {Ground Plane Partitioning for Current Recycling of Superconducting Circuits.},
year = {2020},
booktitle = {DATE},
author = {{Naveen Kumar Katam} and {Bo Zhang 098} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2020 Design, Automation & Test in Europe Conference & Exhibition, DATE 2020, Grenoble, France, March 9-13, 2020}
}
@inproceedings{conf/date/ShahsavaniZP20,
title = {A Timing Uncertainty-Aware Clock Tree Topology Generation Algorithm for Single Flux Quantum Circuits.},
year = {2020},
booktitle = {DATE},
author = {{Soheil Nazar Shahsavani} and {Bo Zhang 098} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2020 Design, Automation & Test in Europe Conference & Exhibition, DATE 2020, Grenoble, France, March 9-13, 2020}
}
@inproceedings{conf/hpca/LinPPC20,
title = {A Deep Reinforcement Learning Framework for Architectural Exploration: A Routerless NoC Case Study.},
year = {2020},
booktitle = {HPCA},
author = {{Ting-Ru Lin} and {Drew Penney} and {Massoud Pedram} and {Lizhong Chen}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on High Performance Computer Architecture, HPCA 2020, San Diego, CA, USA, February 22-26, 2020}
}
@inproceedings{conf/icc/LinHWP20,
title = {A Stochastic Framework for Virtualization Layer Deployment in Vehicular Cloud Networks.},
year = {2020},
booktitle = {ICC Workshops},
author = {{Ting-Ru Lin} and {Po-Han Huang} and {Luhao Wang} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2020 IEEE International Conference on Communications Workshops, ICC Workshops 2020, Dublin, Ireland, June 7-11, 2020}
}
@inproceedings{conf/iccad/LinP20,
title = {Retiming for High-performance Superconductive Circuits with Register Energy Minimization.},
year = {2020},
booktitle = {ICCAD},
author = {{Ting-Ru Lin} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE/ACM International Conference On Computer Aided Design, ICCAD 2020, San Diego, CA, USA, November 2-5, 2020}
}
@inproceedings{conf/iccad/NazemiEFP20,
title = {SynergicLearning: Neural Network-Based Feature Extraction for Highly-Accurate Hyperdimensional Learning.},
year = {2020},
booktitle = {ICCAD},
author = {{Mahdi Nazemi} and {Amirhossein Esmaili} and {Arash Fayyazi} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE/ACM International Conference On Computer Aided Design, ICCAD 2020, San Diego, CA, USA, November 2-5, 2020}
}
@inproceedings{conf/iccd/EshratifarP20,
title = {Runtime Deep Model Multiplexing for Reduced Latency and Energy Consumption Inference.},
year = {2020},
booktitle = {ICCD},
author = {{Amir Erfan Eshratifar} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {38th IEEE International Conference on Computer Design, ICCD 2020, Hartford, CT, USA, October 18-21, 2020}
}
@inproceedings{conf/isca/HolmesJPDPC20,
title = {NISQ+: Boosting quantum computing power by approximating quantum error correction.},
year = {2020},
booktitle = {ISCA},
author = {{Adam Holmes} and {Mohammad Reza Jokar} and {Ghasem Pasandi} and {Yongshan Ding 001} and {Massoud Pedram} and {Frederic T. Chong}},
publisher = {IEEE},
booktitle = {47th ACM/IEEE Annual International Symposium on Computer Architecture, ISCA 2020, Virtual Event / Valencia, Spain, May 30 - June 3, 2020}
}
@inproceedings{conf/islped/FayyaziEP20,
title = {HIPE-MAGIC: a technology-aware synthesis and mapping flow for highly parallel execution of memristor-aided LoGIC.},
year = {2020},
booktitle = {ISLPED},
author = {{Arash Fayyazi} and {Amirhossein Esmaili} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, Massachusetts, August 10-12, 2020}
}
@inproceedings{conf/islped/PasandiPHNP20,
title = {Deep-PowerX: a deep learning-based framework for low-power approximate logic synthesis.},
year = {2020},
booktitle = {ISLPED},
author = {{Ghasem Pasandi} and {Mackenzie Peterson} and {Moisés Herrera} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, Massachusetts, August 10-12, 2020}
}
@inproceedings{conf/isqed/AbrishamiEEWNP20,
title = {Efficient Training of Deep Convolutional Neural Networks by Augmentation in Embedding Space.},
year = {2020},
booktitle = {ISQED},
author = {{Mohammad Saeed Abrishami} and {Amir Erfan Eshratifar} and {David Eigen} and {Yanzhi Wang} and {Shahin Nazarian} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {21st International Symposium on Quality Electronic Design, ISQED 2020, Santa Clara, CA, USA, March 25-26, 2020}
}
@inproceedings{conf/isqed/AbrishamiGCPN20,
title = {NN-PARS: A Parallelized Neural Network Based Circuit Simulation Framework.},
year = {2020},
booktitle = {ISQED},
author = {{Mohammad Saeed Abrishami} and {Hao Ge} and {Justin F. Calderon} and {Massoud Pedram} and {Shahin Nazarian}},
publisher = {IEEE},
booktitle = {21st International Symposium on Quality Electronic Design, ISQED 2020, Santa Clara, CA, USA, March 25-26, 2020}
}
@inproceedings{conf/isqed/Afzali-KushaKP20,
title = {Low-power Accuracy-configurable Carry Look-ahead Adder Based on Voltage Overscaling Technique.},
year = {2020},
booktitle = {ISQED},
author = {{Hassan Afzali-Kusha} and {Mehdi Kamal} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {21st International Symposium on Quality Electronic Design, ISQED 2020, Santa Clara, CA, USA, March 25-26, 2020}
}
@inproceedings{conf/isqed/EsmailiP20,
title = {Energy-aware Scheduling of Jobs in Heterogeneous Cluster Systems Using Deep Reinforcement Learning.},
year = {2020},
booktitle = {ISQED},
author = {{Amirhossein Esmaili} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {21st International Symposium on Quality Electronic Design, ISQED 2020, Santa Clara, CA, USA, March 25-26, 2020}
}
@inproceedings{conf/isqed/VaeztourshiziKP20,
title = {EGAN: A Framework for Exploring the Accuracy vs. Energy Efficiency Trade-off in Hardware Implementation of Error Resilient Applications.},
year = {2020},
booktitle = {ISQED},
author = {{Marzieh Vaeztourshizi} and {Mehdi Kamal} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {21st International Symposium on Quality Electronic Design, ISQED 2020, Santa Clara, CA, USA, March 25-26, 2020}
}
@article{journals/corr/abs-2001-05870,
title = {Run-time Deep Model Multiplexing.},
year = {2020},
journal = {CoRR},
author = {{Amir Erfan Eshratifar} and {Massoud Pedram}}
}
@article{journals/corr/abs-2001-10715,
title = {qBSA: Logic Design of a 32-bit Block-Skewed RSFQ Arithmetic Logic Unit.},
year = {2020},
journal = {CoRR},
author = {{Souvik Kundu 002} and {Gourav Datta} and {Peter A. Beerel} and {Massoud Pedram}}
}
@article{journals/corr/abs-2005-13735,
title = {Logic Verification of Ultra-Deep Pipelined Beyond-CMOS Technologies.},
year = {2020},
journal = {CoRR},
author = {{Arash Fayyazi} and {Shahin Nazarian} and {Massoud Pedram}}
}
@article{journals/corr/abs-2011-03083,
title = {A Tunable Robust Pruning Framework Through Dynamic Network Rewiring of DNNs.},
year = {2020},
journal = {CoRR},
author = {{Souvik Kundu 002} and {Mahdi Nazemi} and {Peter A. Beerel} and {Massoud Pedram}}
}
@article{journals/dt/PedramW20,
title = {Energy Efficiency in 5G Cellular Network Systems.},
year = {2020},
journal = {IEEE Des. Test},
author = {{Massoud Pedram} and {Luhao Wang}}
}
@article{journals/jetc/PasandiP20,
title = {Depth-bounded Graph Partitioning Algorithm and Dual Clocking Method for Realization of Superconducting SFQ Circuits.},
year = {2020},
journal = {ACM J. Emerg. Technol. Comput. Syst.},
author = {{Ghasem Pasandi} and {Massoud Pedram}}
}
@article{journals/pieee/AmanollahiKAP20,
title = {Circuit-Level Techniques for Logic and Memory Blocks in Approximate Computing Systemsx.},
year = {2020},
journal = {Proc. IEEE},
author = {{Saba Amanollahi} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tc/KunduNPCB20,
title = {Pre-Defined Sparsity for Low-Complexity Convolutional Neural Networks.},
year = {2020},
journal = {IEEE Trans. Computers},
author = {{Souvik Kundu 002} and {Mahdi Nazemi} and {Massoud Pedram} and {Keith M. Chugg} and {Peter A. Beerel}}
}
@article{journals/tcad/AkbariKAPS20,
title = {X-CGRA: An Energy-Efficient Approximate Coarse-Grained Reconfigurable Architecture.},
year = {2020},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Omid Akbari} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram} and {Muhammad Shafique 001}}
}
@article{journals/tcas/Ebrahimi-Azandaryani20,
title = {Block-Based Carry Speculative Approximate Adder for Energy-Efficient Applications.},
year = {2020},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Farhad Ebrahimi-Azandaryani} and {Omid Akbari} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tcas/HaghiKAP20,
title = {O⁴-DNN: A Hybrid DSP-LUT-Based Processing Unit With Operation Packing and Out-of-Order Execution for Efficient Realization of Convolutional Neural Networks on FPGA Devices.},
year = {2020},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Pouya Haghi} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tcas/SamimiKAP20,
title = {Res-DNN: A Residue Number System-Based DNN Accelerator Unit.},
year = {2020},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Nasim Samimi} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tcas/VahdatKAP20,
title = {Offline Training Improvement of Inverter-Based Memristive Neural Networks Using Inverter Voltage Characteristic Smoothing.},
year = {2020},
journal = {IEEE Trans. Circuits Syst.},
author = {{Shaghayegh Vahdat} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/todaes/EsmailiNP20,
title = {Energy-aware Scheduling of Task Graphs with Imprecise Computations and End-to-end Deadlines.},
year = {2020},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Amirhossein Esmaili} and {Mahdi Nazemi} and {Massoud Pedram}}
}
@article{journals/tvlsi/Afzali-KushaVKP20,
title = {Design Exploration of Energy-Efficient Accuracy-Configurable Dadda Multipliers With Improved Lifetime Based on Voltage Overscaling.},
year = {2020},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Hassan Afzali-Kusha} and {Marzieh Vaeztourshizi} and {Mehdi Kamal} and {Massoud Pedram}}
}
@article{journals/tvlsi/Bank-TavakoliGK20,
title = {POLAR: A Pipelined/Overlapped FPGA-Based LSTM Accelerator.},
year = {2020},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Erfan Bank-Tavakoli} and {Seyed Abolfazl Ghasemzadeh} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tvlsi/SoltaniKAP20,
title = {RandShift: An Energy-Efficient Fault-Tolerant Method in Secure Nonvolatile Main Memory.},
year = {2020},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Morteza Soltani} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tvlsi/VahdatKAP20,
title = {Interstice: Inverter-Based Memristive Neural Networks Discretization for Function Approximation Applications.},
year = {2020},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Shaghayegh Vahdat} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tvlsi/YarmandKAP20,
title = {DART: A Framework for Determining Approximation Levels in an Approximable Memory Hierarchy.},
year = {2020},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Roohollah Yarmand} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@inproceedings{conf/aspdac/KunduNBP21,
title = {DNR: A Tunable Robust Pruning Framework Through Dynamic Network Rewiring of DNNs.},
year = {2021},
booktitle = {ASP-DAC},
author = {{Souvik Kundu 002} and {Mahdi Nazemi} and {Peter A. Beerel} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, Tokyo, Japan, January 18-21, 2021}
}
@inproceedings{conf/dac/PasandiP21,
title = {qSeq: Full Algorithmic and Tool Support for Synthesizing Sequential Circuits in Superconducting SFQ Technology.},
year = {2021},
booktitle = {DAC},
author = {{Ghasem Pasandi} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {58th ACM/IEEE Design Automation Conference, DAC 2021, San Francisco, CA, USA, December 5-9, 2021}
}
@inproceedings{conf/date/KanakiaNFP21,
title = {ESPRESSO-GPU: Blazingly Fast Two-Level Logic Minimization.},
year = {2021},
booktitle = {DATE},
author = {{Hitarth Kanakia} and {Mahdi Nazemi} and {Arash Fayyazi} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2021, Grenoble, France, February 1-5, 2021}
}
@inproceedings{conf/fccm/NazemiFEKSP21,
title = {NullaNet Tiny: Ultra-low-latency DNN Inference Through Fixed-function Combinational Logic.},
year = {2021},
booktitle = {FCCM},
author = {{Mahdi Nazemi} and {Arash Fayyazi} and {Amirhossein Esmaili} and {Atharva Khare} and {Soheil Nazar Shahsavani} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {29th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, FCCM 2021, Orlando, FL, USA, May 9-12, 2021}
}
@inproceedings{conf/glvlsi/MunirGFPN21,
title = {qMC: A Formal Model Checking Verification Framework For Superconducting Logic.},
year = {2021},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Mustafa Munir} and {Aswin Gopikanna} and {Arash Fayyazi} and {Massoud Pedram} and {Shahin Nazarian}},
publisher = {ACM},
booktitle = {GLSVLSI '21: Great Lakes Symposium on VLSI 2021, Virtual Event, USA, June 22-25, 2021.}
}
@inproceedings{conf/iccad/NazemiKP21,
title = {Heuristics for Million-scale Two-level Logic Minimization.},
year = {2021},
booktitle = {ICCAD},
author = {{Mahdi Nazemi} and {Hitarth Kanakia} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE/ACM International Conference On Computer Aided Design, ICCAD 2021, Munich, Germany, November 1-4, 2021}
}
@inproceedings{conf/iccv/0002PB21,
title = {HIRE-SNN: Harnessing the Inherent Robustness of Energy-Efficient Deep Spiking Neural Networks by Training with Crafted Input Noise.},
year = {2021},
booktitle = {ICCV},
author = {{Souvik Kundu 002} and {Massoud Pedram} and {Peter A. Beerel}},
publisher = {IEEE},
booktitle = {2021 IEEE/CVF International Conference on Computer Vision, ICCV 2021, Montreal, QC, Canada, October 10-17, 2021}
}
@inproceedings{conf/islped/ZhangCP21,
title = {A High-Performance Low-Power Barrett Modular Multiplier for Cryptosystems.},
year = {2021},
booktitle = {ISLPED},
author = {{Bo Zhang 098} and {Zeming Cheng} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2021, Boston, MA, USA, July 26-28, 2021}
}
@inproceedings{conf/nips/KunduSFPB21,
title = {Analyzing the Confidentiality of Undistillable Teachers in Knowledge Distillation.},
year = {2021},
booktitle = {NeurIPS},
author = {{Souvik Kundu 002} and {Qirui Sun} and {Yao Fu} and {Massoud Pedram} and {Peter A. Beerel}},
booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual.}
}
@inproceedings{conf/wacv/KunduDPB21,
title = {Spike-Thrift: Towards Energy-Efficient Deep Spiking Neural Networks by Limiting Spiking Activity via Attention-Guided Compression.},
year = {2021},
booktitle = {WACV},
author = {{Souvik Kundu 002} and {Gourav Datta} and {Massoud Pedram} and {Peter A. Beerel}},
publisher = {IEEE},
booktitle = {IEEE Winter Conference on Applications of Computer Vision, WACV 2021, Waikoloa, HI, USA, January 3-8, 2021}
}
@article{journals/corr/abs-2101-02667,
title = {BRDS: An FPGA-based LSTM Accelerator with Row-Balanced Dual-Ratio Sparsification.},
year = {2021},
journal = {CoRR},
author = {{Seyed Abolfazl Ghasemzadeh} and {Erfan Bank Tavakoli} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/corr/abs-2101-09693,
title = {A2P-MANN: Adaptive Attention Inference Hops Pruned Memory-Augmented Neural Networks.},
year = {2021},
journal = {CoRR},
author = {{Mohsen Ahmadzadeh} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/corr/abs-2107-12445,
title = {Towards Low-Latency Energy-Efficient Deep SNNs via Attention-Guided Compression.},
year = {2021},
journal = {CoRR},
author = {{Souvik Kundu 002} and {Gourav Datta} and {Massoud Pedram} and {Peter A. Beerel}}
}
@article{journals/iotj/HanLOBJLLP21,
title = {Developing TEI-Aware Ultralow-Power SoC Platforms for IoT End Nodes.},
year = {2021},
journal = {IEEE Internet Things J.},
author = {{Kyuseung Han} and {Sukho Lee} and {Kwang-Il Oh} and {Younghwan Bae} and {Hyeonguk Jang} and {Jae-Jin Lee} and {Woojoo Lee} and {Massoud Pedram}}
}
@article{journals/mva/EshratifarEGP21,
title = {Coarse2Fine: a two-stage training method for fine-grained visual classification.},
year = {2021},
journal = {Mach. Vis. Appl.},
author = {{Amir Erfan Eshratifar} and {David Eigen} and {Michael Gormish} and {Massoud Pedram}}
}
@article{journals/tcad/Dousti0NP21,
title = {Therminator 2: A Fast Thermal Simulator for Portable Devices.},
year = {2021},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Mohammad Javad Dousti} and {Qing Xie 001} and {Mahdi Nazemi} and {Massoud Pedram}}
}
@article{journals/tcasI/VahdatKAP21,
title = {Loading-Aware Reliability Improvement of Ultra-Low Power Memristive Neural Networks.},
year = {2021},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Shaghayegh Vahdat} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tcasI/VahdatKAP21a,
title = {Reliability Enhancement of Inverter-Based Memristor Crossbar Neural Networks Using Mathematical Analysis of Circuit Non-Idealities.},
year = {2021},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Shaghayegh Vahdat} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tcasII/VahdatKAP21,
title = {LATIM: Loading-Aware Offline Training Method for Inverter-Based Memristive Neural Networks.},
year = {2021},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Shaghayegh Vahdat} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tmc/EshratifarAP21,
title = {JointDNN: An Efficient Training and Inference Engine for Intelligent Mobile Cloud Computing Services.},
year = {2021},
journal = {IEEE Trans. Mob. Comput.},
author = {{Amir Erfan Eshratifar} and {Mohammad Saeed Abrishami} and {Massoud Pedram}}
}
@article{journals/todaes/LiSZPB21,
title = {A Variation-aware Hold Time Fixing Methodology for Single Flux Quantum Logic Circuits.},
year = {2021},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Xi Li} and {Soheil Nazar Shahsavani} and {Xuan Zhou} and {Massoud Pedram} and {Peter A. Beerel}}
}
@article{journals/todaes/MalekiNKAP21,
title = {An Energy-Efficient Inference Method in Convolutional Neural Networks Based on Dynamic Adjustment of the Pruning Level.},
year = {2021},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Mohammad Ali Maleki} and {Alireza Nabipour-Meybodi} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tvlsi/YarmandKAEP21,
title = {OPTIMA: An Approach for Online Management of Cache Approximation Levels in Approximate Processing Systems.},
year = {2021},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Roohollah Yarmand} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Pooria Esmaeli} and {Massoud Pedram}}
}
@incollection{books/sp/22/VaeztourshiziAKP22,
title = {Design Techniques for Approximate Realization of Data-Flow Graphs.},
year = {2022},
booktitle = {Approximate Computing},
author = {{Marzieh Vaeztourshizi} and {Hassan Afzali-Kusha} and {Mehdi Kamal} and {Massoud Pedram}},
publisher = {Springer International Publishing},
booktitle = {Approximate Computing}
}
@inproceedings{conf/date/KunduWSBP22,
title = {BMPQ: Bit-Gradient Sensitivity-Driven Mixed-Precision Quantization of DNNs from Scratch.},
year = {2022},
booktitle = {DATE},
author = {{Souvik Kundu 002} and {Shikai Wang} and {Qirui Sun} and {Peter A. Beerel} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {2022 Design, Automation & Test in Europe Conference & Exhibition, DATE 2022, Antwerp, Belgium, March 14-23, 2022}
}
@inproceedings{conf/date/ShadmehriBKSAPC22,
title = {SySCIM: SystemC-AMS Simulation of Memristive Computation In-Memory.},
year = {2022},
booktitle = {DATE},
author = {{Seyed Hossein Hashemi Shadmehri} and {Ali BanaGozar} and {Mehdi Kamal} and {Sander Stuijk} and {Ali Afzali-Kusha} and {Massoud Pedram} and {Henk Corporaal}},
publisher = {IEEE},
booktitle = {2022 Design, Automation & Test in Europe Conference & Exhibition, DATE 2022, Antwerp, Belgium, March 14-23, 2022}
}
@inproceedings{conf/hpca/JokarRPCHSPC22,
title = {DigiQ: A Scalable Digital Controller for Quantum Computers Using SFQ Logic.},
year = {2022},
booktitle = {HPCA},
author = {{Mohammad Reza Jokar} and {Richard Rines} and {Ghasem Pasandi} and {Haolin Cong} and {Adam Holmes} and {Yunong Shi} and {Massoud Pedram} and {Frederic T. Chong}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on High-Performance Computer Architecture, HPCA 2022, Seoul, South Korea, April 2-6, 2022}
}
@inproceedings{conf/hpca/ZhaKPA22,
title = {HiPerRF: A Dual-Bit Dense Storage SFQ Register File.},
year = {2022},
booktitle = {HPCA},
author = {{Haipeng Zha} and {Naveen Kumar Katam} and {Massoud Pedram} and {Murali Annavaram}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on High-Performance Computer Architecture, HPCA 2022, Seoul, South Korea, April 2-6, 2022}
}
@inproceedings{conf/islped/HeoFEP22,
title = {Sparse Periodic Systolic Dataflow for Lowering Latency and Power Dissipation of Convolutional Neural Network Accelerators.},
year = {2022},
booktitle = {ISLPED},
author = {{Jung Hwan Heo} and {Arash Fayyazi} and {Amirhossein Esmaili} and {Massoud Pedram}},
publisher = {ACM},
booktitle = {ISLPED '22: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, MA, USA, August 1 - 3, 2022}
}
@inproceedings{conf/isqed/Afzali-KushaP22,
title = {X-NVDLA: Runtime Accuracy Configurable NVDLA based on Employing Voltage Overscaling Approach.},
year = {2022},
booktitle = {ISQED},
author = {{Hassan Afzali-Kusha} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {23rd International Symposium on Quality Electronic Design, ISQED 2022, Santa Clara, CA, USA, April 6-7, 2022}
}
@inproceedings{conf/isqed/VaeztourshiziP22,
title = {An Efficient Error Estimation Technique for Pruning Approximate Data-Flow Graphs in Design Space Exploration.},
year = {2022},
booktitle = {ISQED},
author = {{Marzieh Vaeztourshizi} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {23rd International Symposium on Quality Electronic Design, ISQED 2022, Santa Clara, CA, USA, April 6-7, 2022}
}
@article{journals/corr/abs-2204-00426,
title = {A Fast and Efficient Conditional Learning for Tunable Trade-Off between Accuracy and Robustness.},
year = {2022},
journal = {CoRR},
author = {{Souvik Kundu 002} and {Sairam Sundaresan} and {Massoud Pedram} and {Peter A. Beerel}}
}
@article{journals/corr/abs-2208-08547,
title = {Have your QEC and Bandwidth too!: A lightweight cryogenic decoder for common / trivial errors, and efficient bandwidth + execution management otherwise.},
year = {2022},
journal = {CoRR},
author = {{Gokul Subramanian Ravi} and {Jonathan M. Baker} and {Arash Fayyazi} and {Sophia Fuhui Lin} and {Ali Javadi-Abhari} and {Massoud Pedram} and {Frederic T. Chong}}
}
@article{journals/corr/abs-2208-13850,
title = {AMR-MUL: An Approximate Maximally Redundant Signed Digit Multiplier.},
year = {2022},
journal = {CoRR},
author = {{Saba Amanollahi} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/dt/NguyenVPS22,
title = {An Attachable Battery-Supercapacitor Hybrid for Large Pulsed Load.},
year = {2022},
journal = {IEEE Des. Test},
author = {{Nhat-An Nguyen} and {Hien Vu} and {Massoud Pedram} and {Donghwa Shin}}
}
@article{journals/ijon/TanghatariKAP22,
title = {Distributing DNN training over IoT edge devices based on transfer learning.},
year = {2022},
journal = {Neurocomputing},
author = {{Ehsan Tanghatari} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tc/ZhangCP22,
title = {High-Radix Design of a Scalable Montgomery Modular Multiplier With Low Latency.},
year = {2022},
journal = {IEEE Trans. Computers},
author = {{Bo Zhang 098} and {Zeming Cheng} and {Massoud Pedram}}
}
@article{journals/tcad/SoltaniKAP22,
title = {An Adaptive Memory-Side Encryption Method for Improving Security and Lifetime of PCM-Based Main Memory.},
year = {2022},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Morteza Soltani} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tvlsi/Zolfagharinejad22,
title = {Posit Process Element for Using in Energy-Efficient DNN Accelerators.},
year = {2022},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Mohamadreza Zolfagharinejad} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tecs/KunduFYBP22,
title = {Toward Adversary-aware Non-iterative Model Pruning through Dynamic Network Rewiring of DNNs.},
year = {2022},
month = {September},
journal = {ACM Trans. Embed. Comput. Syst.},
author = {{Souvik Kundu 002} and {Yao Fu} and {Bill Ye} and {Peter A. Beerel} and {Massoud Pedram}}
}
@inproceedings{conf/asplos/RaviBFLJPC23,
title = {Better Than Worst-Case Decoding for Quantum Error Correction.},
year = {2023},
booktitle = {ASPLOS (2)},
author = {{Gokul Subramanian Ravi} and {Jonathan M. Baker} and {Arash Fayyazi} and {Sophia Fuhui Lin} and {Ali Javadi-Abhari} and {Massoud Pedram} and {Frederic T. Chong}},
publisher = {ACM},
booktitle = {Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2, ASPLOS 2023, Vancouver, BC, Canada, March 25-29, 2023}
}
@inproceedings{conf/dac/HongFENP23,
title = {Algorithms and Hardware for Efficient Processing of Logic-based Neural Networks.},
year = {2023},
booktitle = {DAC},
author = {{Jingkai Hong} and {Arash Fayyazi} and {Amirhossein Esmaili} and {Mahdi Nazemi} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {60th ACM/IEEE Design Automation Conference, DAC 2023, San Francisco, CA, USA, July 9-13, 2023}
}
@inproceedings{conf/islped/ParkHCLLLP23,
title = {Florian: Developing a Low-Power RISC-V Multicore Processor with a Shared Lightweight FPU.},
year = {2023},
booktitle = {ISLPED},
author = {{Jina Park} and {Kyuseung Han} and {Eunjin Choi} and {Sukho Lee} and {Jae-Jin Lee} and {Woojoo Lee} and {Massoud Pedram}},
publisher = {IEEE},
booktitle = {IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2023, Vienna, Austria, August 7-8, 2023}
}
@inproceedings{conf/wacv/KunduSPB23,
title = {FLOAT: Fast Learnable Once-for-All Adversarial Training for Tunable Trade-off between Accuracy and Robustness.},
year = {2023},
booktitle = {WACV},
author = {{Souvik Kundu 002} and {Sairam Sundaresan} and {Massoud Pedram} and {Peter A. Beerel}},
publisher = {IEEE},
booktitle = {IEEE/CVF Winter Conference on Applications of Computer Vision, WACV 2023, Waikoloa, HI, USA, January 2-7, 2023}
}
@article{journals/corr/abs-2303-02331,
title = {A Fast Training-Free Compression Framework for Vision Transformers.},
year = {2023},
journal = {CoRR},
author = {{Jung Hwan Heo} and {Arash Fayyazi} and {Mahdi Nazemi} and {Massoud Pedram}}
}
@article{journals/corr/abs-2305-04526,
title = {SNT: Sharpness-Minimizing Network Transformation for Fast Compression-friendly Pretraining.},
year = {2023},
journal = {CoRR},
author = {{Jung Hwan Heo} and {Seyedarmin Azizi} and {Arash Fayyazi} and {Mahdi Nazemi} and {Massoud Pedram}}
}
@article{journals/corr/abs-2307-03784,
title = {BlendNet: Design and Optimization of a Neural Network-Based Inference Engine Blending Binary and Fixed-Point Convolutions.},
year = {2023},
journal = {CoRR},
author = {{Arash Fayyazi} and {Mahdi Nazemi} and {Armin Abdollahi} and {Massoud Pedram}}
}
@article{journals/corr/abs-2307-07503,
title = {Brain Tumor Detection using Convolutional Neural Networks with Skip Connections.},
year = {2023},
journal = {CoRR},
author = {{Aupam Hamran} and {Marzieh Vaeztourshizi} and {Amirhossein Esmaili} and {Massoud Pedram}}
}
@article{journals/corr/abs-2307-12216,
title = {A Life-Cycle Energy and Inventory Analysis of Adiabatic Quantum-Flux-Parametron Circuits.},
year = {2023},
journal = {CoRR},
author = {{Masoud Zabihi} and {Yanyue Xie} and {Zhengang Li} and {Peiyan Dong} and {Geng Yuan} and {Olivia Chen} and {Massoud Pedram} and {Yanzhi Wang}}
}
@article{journals/corr/abs-2308-06422,
title = {Sensitivity-Aware Mixed-Precision Quantization and Width Optimization of Deep Neural Networks Through Cluster-Based Tree-Structured Parzen Estimation.},
year = {2023},
journal = {CoRR},
author = {{Seyedarmin Azizi} and {Mahdi Nazemi} and {Arash Fayyazi} and {Massoud Pedram}}
}
@article{journals/corr/abs-2309-03407,
title = {A Josephson Parametric Oscillator-Based Ising Machine.},
year = {2023},
journal = {CoRR},
author = {{Sasan Razmkhah} and {Mehdi Kamal} and {Nobuyuki Yoshikawa} and {Massoud Pedram}}
}
@article{journals/corr/abs-2309-14613,
title = {Design of a Superconducting Multiflux Non-Destructive Readout Memory Unit.},
year = {2023},
journal = {CoRR},
author = {{Beyza Zeynep Ucpinar} and {Yasemin Kopur} and {Mustafa Altay Karamuftuoglu} and {Sasan Razmkhah} and {Massoud Pedram}}
}
@article{journals/corr/abs-2310-03918,
title = {Unsupervised SFQ-Based Spiking Neural Network.},
year = {2023},
journal = {CoRR},
author = {{Mustafa Altay Karamuftuoglu} and {Beyza Zeynep Ucpinar} and {Sasan Razmkhah} and {Mehdi Kamal} and {Massoud Pedram}}
}
@article{journals/corr/abs-2310-07824,
title = {An On-Chip Trainable Neuron Circuit for SFQ-Based Spiking Neural Networks.},
year = {2023},
journal = {CoRR},
author = {{Beyza Zeynep Ucpinar} and {Mustafa Altay Karamuftuoglu} and {Sasan Razmkhah} and {Massoud Pedram}}
}
@article{journals/corr/abs-2310-13857,
title = {Superconductor Logic Implementation with All-JJ Inductor-Free Cell Library.},
year = {2023},
journal = {CoRR},
author = {{Haolin Cong} and {Sasan Razmkhah} and {Mustafa Altay Karamuftuoglu} and {Massoud Pedram}}
}
@article{journals/corr/abs-2312-02210,
title = {Low-Precision Mixed-Computation Models for Inference on Edge.},
year = {2023},
journal = {CoRR},
author = {{Seyedarmin Azizi} and {Mahdi Nazemi} and {Mehdi Kamal} and {Massoud Pedram}}
}
@article{journals/iacr/CousinsPBFSJRCJMGNSMRZFBJBFZCP23,
title = {TREBUCHET: Fully Homomorphic Encryption Accelerator for Deep Computation.},
year = {2023},
journal = {IACR Cryptol. ePrint Arch.},
author = {{David Bruce Cousins} and {Yuriy Polyakov} and {Ahmad Al Badawi} and {Matthew French} and {Andrew G. Schmidt} and {Ajey P. Jacob} and {Benedict Reynwar} and {Kellie Canida} and {Akhilesh R. Jaiswal} and {Clynn Mathew} and {Homer Gamil} and {Negar Neda} and {Deepraj Soni} and {Michail Maniatakos} and {Brandon Reagen} and {Naifeng Zhang} and {Franz Franchetti} and {Patrick Brinich} and {Jeremy Johnson 001} and {Patrick Broderick} and {Mike Franusich} and {Bo Zhang} and {Zeming Cheng} and {Massoud Pedram}}
}
@article{journals/iacr/SoniNZRGHNBPCPMCFFSR23,
title = {RPU: The Ring Processing Unit.},
year = {2023},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Deepraj Soni} and {Negar Neda} and {Naifeng Zhang} and {Benedict Reynwar} and {Homer Gamil} and {Benjamin Heyman} and {Mohammed Thari Nabeel} and {Ahmad Al Badawi} and {Yuriy Polyakov} and {Kellie Canida} and {Massoud Pedram} and {Michail Maniatakos} and {David Bruce Cousins} and {Franz Franchetti} and {Matthew French} and {Andrew G. Schmidt} and {Brandon Reagen}}
}
@article{journals/tc/ZhangCP23,
title = {An Iterative Montgomery Modular Multiplication Algorithm With Low Area-Time Product.},
year = {2023},
journal = {IEEE Trans. Computers},
author = {{Bo Zhang 098} and {Zeming Cheng} and {Massoud Pedram}}
}
@article{journals/tvlsi/VaeztourshiziP23,
title = {Efficient Error Estimation for High-Level Design Space Exploration of Approximate Computing Systems.},
year = {2023},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Marzieh Vaeztourshizi} and {Massoud Pedram}}
}
@article{journals/todaes/EbrahimiAzandaryaniAKAP23,
title = {Accuracy Configurable Adders with Negligible Delay Overhead in Exact Operating Mode.},
year = {2023},
month = {January},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Farhad Ebrahimi-Azandaryani} and {Omid Akbari} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/ijon/TanghatariKAP23,
title = {Federated learning by employing knowledge distillation on edge devices with limited hardware resources.},
year = {2023},
month = {April},
journal = {Neurocomputing},
author = {{Ehsan Tanghatari} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tcasI/AfzaliKushaP23,
title = {X-NVDLA: Runtime Accuracy Configurable NVDLA Based on Applying Voltage Overscaling to Computing and Memory Units.},
year = {2023},
month = {May},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Hassan Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/trets/ShahsavaniFNP23,
title = {Efficient Compilation and Mapping of Fixed Function Combinational Logic onto Digital Signal Processors Targeting Neural Network Inference and Utilizing High-level Synthesis.},
year = {2023},
month = {June},
journal = {ACM Trans. Reconfigurable Technol. Syst.},
author = {{Soheil Nazar Shahsavani} and {Arash Fayyazi} and {Mahdi Nazemi} and {Massoud Pedram}}
}
@article{journals/todaes/KazerooniZandKAP23,
title = {Memristive-based Mixed-signal CGRA for Accelerating Deep Neural Network Inference.},
year = {2023},
month = {July},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Reza Kazerooni-Zand} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/tnn/AhmadzadehKAP23,
title = {A2P-MANN: Adaptive Attention Inference Hops Pruned Memory-Augmented Neural Networks.},
year = {2023},
month = {November},
journal = {IEEE Trans. Neural Networks Learn. Syst.},
author = {{Mohsen Ahmadzadeh} and {Mehdi Kamal} and {Ali Afzali-Kusha} and {Massoud Pedram}}
}
@article{journals/corr/abs-2402-06004,
title = {Memory-Efficient Vision Transformers: An Activation-Aware Mixed-Rank Compression Strategy.},
year = {2024},
journal = {CoRR},
author = {{Seyedarmin Azizi} and {Mahdi Nazemi} and {Massoud Pedram}}
}
@article{journals/corr/abs-2402-16384,
title = {Scalable Superconductor Neuron with Ternary Synaptic Connections for Ultra-Fast SNN Hardware.},
year = {2024},
journal = {CoRR},
author = {{Mustafa Altay Karamuftuoglu} and {Beyza Zeynep Ucpinar} and {Arash Fayyazi} and {Sasan Razmkhah} and {Mehdi Kamal} and {Massoud Pedram}}
}
@article{journals/tcad/ChengZP24,
title = {A High-Performance, Conflict-Free Memory-Access Architecture for Modular Polynomial Multiplication.},
year = {2024},
month = {February},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Zeming Cheng} and {Bo Zhang 098} and {Massoud Pedram}}
}