Matthias Jung

Orcid: 0000-0003-0036-2143

Affiliations:
  • Technische Universität Kaiserslautern, Germany


According to our database1, Matthias Jung authored at least 69 papers between 2011 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Special Issue on SAMOS 2022.
Int. J. Parallel Program., April, 2024

QCEDA: Using Quantum Computers for EDA.
CoRR, 2024

2023
A Precise Measurement Platform for LPDDR4 Memories.
Proceedings of the International Symposium on Memory Systems, 2023

Automatic DRAM Subsystem Configuration with irace.
Proceedings of the DroneSE and RAPIDO: System Engineering for constrained embedded systems, 2023

2022
DRAMSys4.0: An Open-Source Simulation Framework for In-depth DRAM Analyses.
Int. J. Parallel Program., 2022

Guest Editorial: Special Issue on 2020 IEEE International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS 2020).
Int. J. Parallel Program., 2022

A Weighted Current Summation Based Mixed Signal DRAM-PIM Architecture for Deep Neural Network Inference.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2022

Split'n'Cover: ISO 26262 Hardware Safety Analysis with SystemC.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2022

A Framework for Formal Verification of DRAM Controllers.
Proceedings of the 2022 International Symposium on Memory Systems, 2022

Unveiling the Real Performance of LPDDR5 Memories.
Proceedings of the 2022 International Symposium on Memory Systems, 2022

2021
A Novel DRAM Architecture for Improved Bandwidth Utilization and Latency Reduction Using Dual-Page Operation.
IEEE Trans. Circuits Syst. II Express Briefs, 2021


Online Working Set Change Detection with Constant Complexity: The Cornerstone for Memory Management Algorithms in Scalable Systems.
Proceedings of the MEMSYS 2021: The International Symposium on Memory Systems, Washington, USA, September 27, 2021

An LPDDR4 Safety Model for Automotive Applications.
Proceedings of the MEMSYS 2021: The International Symposium on Memory Systems, Washington, USA, September 27, 2021

Exploration of DDR5 with the Open-Source Simulator DRAMSys.
Proceedings of the Methods and Description Languages for Modelling and Verification of Circuits and Systems, 2021

The Dynamic Random Access Memory Challenge in Embedded Computing Systems.
Proceedings of the A Journey of Embedded and Cyber-Physical Systems, 2021

A Novel DRAM-Based Process-in-Memory Architecture and its Implementation for CNNs.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
eBrainII: a 3 kW Realtime Custom 3D DRAM Integrated ASIC Implementation of a Biologically Plausible Model of a Human Scale Cortex.
J. Signal Process. Syst., 2020

The gem5 Simulator: Version 20.0+.
CoRR, 2020

DRAMSys4.0: A Fast and Cycle-Accurate SystemC/TLM-Based DRAM Simulator.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2020

System simulation with PULP virtual platform and SystemC.
Proceedings of the RAPIDO 2020 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, 2020

Efficient Generation of Application Specific Memory Controllers.
Proceedings of the MEMSYS 2020: The International Symposium on Memory Systems, 2020

An Energy Efficient 3D-Heterogeneous Main Memory Architecture for Mobile Devices.
Proceedings of the MEMSYS 2020: The International Symposium on Memory Systems, 2020

A Low Power In-DRAM Architecture for Quantized CNNs using Fast Winograd Convolutions.
Proceedings of the MEMSYS 2020: The International Symposium on Memory Systems, 2020

Fast and Accurate DRAM Simulation: Can we Further Accelerate it?
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
eBrainII: A 3 kW Realtime Custom 3D DRAM integrated ASIC implementation of a Biologically Plausible Model of a Human Scale Cortex.
CoRR, 2019

A Lean, Low Power, Low Latency DRAM Memory Controller for Transprecision Computing.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019

RRAMSpec: A Design Space Exploration Framework for High Density Resistive RAM.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019

Fast validation of DRAM protocols with timed petri nets.
Proceedings of the International Symposium on Memory Systems, 2019

An In-DRAM Neural Network Processing Engine.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Rapid Identification of Shared Memory in Multithreaded Embedded Systems with Static Scheduling.
Proceedings of the 48th International Conference on Parallel Processing, 2019

Speculative Temporal Decoupling Using fork().
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
A Memory Centric Architecture of the Link Assessment Algorithm in Large Graphs.
IEEE Des. Test, 2018

BOSMI: a framework for non-intrusive monitoring and testing of embedded multithreaded software on the logical level.
Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, 2018

A Model-Based Safety Analysis of Dependencies Across Abstraction Layers.
Proceedings of the Computer Safety, Reliability, and Security, 2018

A Framework for Non-intrusive Trace-driven Simulation of Manycore Architectures with Dynamic Tracing Configuration.
Proceedings of the Runtime Verification - 18th International Conference, 2018

Efficient coding scheme for DDR4 memory subsystems.
Proceedings of the International Symposium on Memory Systems, 2018

Driving into the memory wall: the role of memory for advanced driver assistance systems and autonomous driving.
Proceedings of the International Symposium on Memory Systems, 2018

The Role of Memories in Transprecision Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Enabling Continuous Software Engineering for Embedded Systems Architectures with Virtual Prototypes.
Proceedings of the Software Architecture, 2018

An analysis on retention error behavior and power consumption of recent DDR4 DRAMs.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Improving the error behavior of DRAM by exploiting its Z-channel property.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
A Customized Many-Core Hardware Acceleration Platform for Short Read Mapping Problems Using Distributed Memory Interface with 3D-Stacked Architecture.
J. Signal Process. Syst., 2017

DRAMSpec: A High-Level DRAM Timing, Power and Area Exploration Tool.
Int. J. Parallel Program., 2017

3D-Stacked Many-Core Architecture for Biological Sequence Analysis Problems.
Int. J. Parallel Program., 2017

A Platform to Analyze DDR3 DRAM's Power and Retention Time.
IEEE Des. Test, 2017

System simulation with gem5 and SystemC: The keystone for full interoperability.
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017

Supervised testing of concurrent software in embedded systems.
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017

A new state model for DRAMs using Petri Nets.
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017

A Bank-Wise DRAM Power Model for System Simulations.
Proceedings of the 9th Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, 2017

Using run-time reverse-engineering to optimize DRAM refresh.
Proceedings of the International Symposium on Memory Systems, 2017

Integrating DRAM power-down modes in gem5 and quantifying their impact.
Proceedings of the International Symposium on Memory Systems, 2017

2016
A cross layer approach for efficient thermal management in 3D stacked SoCs.
Microelectron. Reliab., 2016

Exploring system performance using elastic traces: Fast, accurate and portable.
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016

A new bank sensitive DRAMPower model for efficient design space exploration.
Proceedings of the 26th International Workshop on Power and Timing Modeling, 2016

Reverse Engineering of DRAMs: Row Hammer with Crosshair.
Proceedings of the Second International Symposium on Memory Systems, 2016

ConGen: An Application Specific DRAM Memory Controller Generator.
Proceedings of the Second International Symposium on Memory Systems, 2016

Invited - Approximate computing with partially unreliable dynamic random access memory - approximate DRAM.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Efficient reliability management in SoCs - an approximate DRAM perspective.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
DRAMSys: A Flexible DRAM Subsystem Design Space Exploration Framework.
IPSJ Trans. Syst. LSI Des. Methodol., 2015

A high-level DRAM timing, power and area exploration tool.
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015

Omitting Refresh: A Case Study for Commodity and Wide I/O DRAMs.
Proceedings of the 2015 International Symposium on Memory Systems, 2015

Thermal Aspects and High-Level Explorations of 3D Stacked DRAMs.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

A Custom Computing System for Finding Similarties in Complex Networks.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Retention time measurements and modelling of bit error rates of WIDE I/O DRAM in MPSoCs.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Optimized active and power-down mode refresh control in 3D-DRAMs.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014

Energy optimization in 3D MPSoCs with Wide-I/O DRAM using temperature variation aware bank-wise refresh.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
TLM modelling of 3D stacked wide I/O DRAM subsystems: a virtual platform for memory controller design space exploration.
Proceedings of the 2013 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, 2013

2011
Energy Efficient Acceleration and Evaluation of Financial Computations towards Real-Time Pricing.
Proceedings of the Knowledge-Based and Intelligent Information and Engineering Systems, 2011


  Loading...