Matthias Sauer

Affiliations:
  • Advantest Europe GmbH
  • University of Freiburg, Computer Architecture, Germany (PhD 2013)


According to our database1, Matthias Sauer authored at least 75 papers between 2011 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Large Language Models to Generate System-Level Test Programs Targeting Non-functional Properties.
CoRR, 2024

2023
Automating Greybox System-Level Test Generation.
Proceedings of the IEEE European Test Symposium, 2023


2022
Machine Learning for Test, Diagnosis, Post-Silicon Validation and Yield Optimization.
Proceedings of the IEEE European Test Symposium, 2022


2021
New Techniques for the Automatic Identification of Uncontrollable Lines in a CPU Core.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

System-Level Test: State of the Art and Challenges.
Proceedings of the 27th IEEE International Symposium on On-Line Testing and Robust System Design, 2021


2020
Exploring the Mysteries of System-Level Test.
Proceedings of the 29th IEEE Asian Test Symposium, 2020

2019
Security Compliance Analysis of Reconfigurable Scan Networks.
Proceedings of the IEEE International Test Conference, 2019

On Integrating Lightweight Encryption in Reconfigurable Scan Networks.
Proceedings of the 24th IEEE European Test Symposium, 2019

On Secure Data Flow in Reconfigurable Scan Networks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
Efficient generation of parametric test conditions for AMS chips with an interval constraint solver.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

Detecting and Resolving Security Violations in Reconfigurable Scan Networks.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018

Towards the formal verification of security properties of a Network-on-Chip router.
Proceedings of the 23rd IEEE European Test Symposium, 2018

Online prevention of security violations in reconfigurable scan networks.
Proceedings of the 23rd IEEE European Test Symposium, 2018

2017
Specification and verification of security in reconfigurable scan networks.
Proceedings of the 22nd IEEE European Test Symposium, 2017

Sensitized path PUF: A lightweight embedded physical unclonable function.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
A Flexible Framework for the Automatic Generation of SBST Programs.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Systemic Frequency Biases in Ring Oscillator PUFs on FPGAs.
IEEE Trans. Multi Scale Comput. Syst., 2016

PHAETON: A SAT-Based Framework for Timing-Aware Path Sensitization.
IEEE Trans. Computers, 2016

On Metrics to Quantify the Inter-Device Uniqueness of PUFs.
IACR Cryptol. ePrint Arch., 2016

Extracting the RC4 secret key of the Open Smart Grid Protocol.
IACR Cryptol. ePrint Arch., 2016

Effective generation and evaluation of diagnostic SBST programs.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016

SAT-Based Combinational and Sequential Dependency Computation.
Proceedings of the Hardware and Software: Verification and Testing, 2016

Formal verification of secure reconfigurable scan network infrastructure.
Proceedings of the 21th IEEE European Test Symposium, 2016

On Optimal Power-Aware Path Sensitization.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

Mixed 01X-RSL-Encoding for fast and accurate ATPG with unknowns.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Formal Vulnerability Analysis of Security Components.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Accurate QBF-Based Test Pattern Generation in Presence of Unknown Values.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Improving diagnosis resolution of a fault detection test set.
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015

Multi-cycle Circuit Parameter Independent ATPG for interconnect open defects.
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015

Modeling Unknown Values in Test and Verification.
Proceedings of the Formal Modeling and Verification of Cyber-Physical Systems, 2015

Identification of high power consuming areas with gate type and logic level information.
Proceedings of the 20th IEEE European Test Symposium, 2015

Improving RO-PUF quality on FPGAs by incorporating design-dependent frequency biases.
Proceedings of the 20th IEEE European Test Symposium, 2015

On the automatic generation of SBST test programs for in-field test.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Solving DQBF through quantifier elimination.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Testing time - time to test?: using formal methods for the timing analysis of digital circuits.
PhD thesis, 2014

Exact Logic and Fault Simulation in Presence of Unknowns.
ACM Trans. Design Autom. Electr. Syst., 2014

QBF with Soft Variables.
Electron. Commun. Eur. Assoc. Softw. Sci. Technol., 2014

Efficient SAT-Based Circuit Initialization for Larger Designs.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

Test pattern generation in presence of unknown values based on restricted symbolic logic.
Proceedings of the 2014 International Test Conference, 2014

Variation-aware deterministic ATPG.
Proceedings of the 19th IEEE European Test Symposium, 2014

Recent advances in SAT-based ATPG: Non-standard fault models, multi constraints and optimization.
Proceedings of the 9th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2014

An effective approach to automatic functional processor test generation for small-delay faults.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Using MaxBMC for Pareto-optimal circuit initialization.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Efficient SMT-based ATPG for interconnect open defects.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

A Formal Approach to the Traveling Professor Problem.
Proceedings of the Aspekte der Technischen Informatik, 2014

Incremental Encoding and Solving of Cardinality Constraints.
Proceedings of the Automated Technology for Verification and Analysis, 2014

Circuit Parameter Independent Test Pattern Generation for Interconnect Open Defects.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

2013
Implementation and Analysis of Ring Oscillator PUFs on 60 nm Altera Cyclone FPGAs.
Inf. Secur. J. A Glob. Perspect., 2013

SAT-Based Analysis of Sensitizable Paths.
IEEE Des. Test, 2013

Identification of critical variables using an FPGA-based fault injection framework.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

Equivalence Checking for Partial Implementations Revisited.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2013

Accurate Computation of Sensitizable Paths Using Answer Set Programming.
Proceedings of the Logic Programming and Nonmonotonic Reasoning, 2013

Early-life-failure detection using SAT-based ATPG.
Proceedings of the 2013 IEEE International Test Conference, 2013

Equivalence checking of partial designs using dependency quantified Boolean formulae.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

Efficient SAT-based dynamic compaction and relaxation for longest sensitizable paths.
Proceedings of the Design, Automation and Test in Europe, 2013

Detection of early-life failures in high-K metal-gate transistors and ultra low-K inter-metal dielectrics.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

Search Space Reduction for Low-Power Test Generation.
Proceedings of the 22nd Asian Test Symposium, 2013

Accurate Multi-cycle ATPG in Presence of X-Values.
Proceedings of the 22nd Asian Test Symposium, 2013

Provably optimal test cube generation using quantified boolean formula solving.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
SAT-ATPG using preferences for improved detection of complex defect mechanisms.
Proceedings of the 30th IEEE VLSI Test Symposium, 2012

Analysis of Reachable Sensitisable Paths in Sequential Circuits with SAT and Craig Interpolation.
Proceedings of the 25th International Conference on VLSI Design, 2012

Functional test of small-delay faults using SAT and Craig interpolation.
Proceedings of the 2012 IEEE International Test Conference, 2012

Small-delay-fault ATPG with waveform accuracy.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

On the quality of test vectors for post-silicon characterization.
Proceedings of the 17th IEEE European Test Symposium, 2012

Multi-conditional SAT-ATPG for power-droop testing.
Proceedings of the 17th IEEE European Test Symposium, 2012

#SAT-based vulnerability analysis of security components - A case study.
Proceedings of the 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2012

On the optimality of K longest path generation algorithm under memory constraints.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Variation-Aware Fault Grading.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

2011
An FPGA-based framework for run-time injection and analysis of soft errors in microprocessors.
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011

Estimation of component criticality in early design steps.
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011

SAT-based analysis of sensitisable paths.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011

Efficient SAT-Based Search for Longest Sensitisable Paths.
Proceedings of the 20th IEEE Asian Test Symposium, 2011


  Loading...