Michael C. Huang

Orcid: 0000-0001-9799-2920

Affiliations:
  • University of Rochester, NY, USA


According to our database1, Michael C. Huang authored at least 91 papers between 2000 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Efficient LDPC Decoding using Physical Computation.
CoRR, 2023

Augmented Electronic Ising Machine as an Effective SAT Solver.
CoRR, 2023

Combining Cubic Dynamical Solvers with Make/Break Heuristics to Solve SAT.
Proceedings of the 26th International Conference on Theory and Applications of Satisfiability Testing, 2023

Supporting Energy-based Learning with an Ising Machine substrate: a Case Study on RBM.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

Ising-CF: A Pathbreaking Collaborative Filtering Method Through Efficient Ising Machine Learning.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Ising-Traffic: Using Ising Machine Learning to Predict Traffic Congestion under Uncertainty.
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023

2022
Irrelevant Data Traffic in Modern Low Power GPU Architectures.
Proceedings of the IEEE International Conference on Networking, Architecture and Storage, 2022

LoopIn: A Loop-Based Simulation Sampling Mechanism.
Proceedings of the International IEEE Symposium on Performance Analysis of Systems and Software, 2022

A CMOS Compatible Bistable Resistively-coupled Ising Machine-BRIM.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Increasing ising machine capacity with multi-chip architectures.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

QuBRIM: A CMOS Compatible Resistively-Coupled Ising Machine with Quantized Nodal Interactions.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

HyBP: Hybrid Isolation-Randomization Secure Branch Predictor.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

2021
Exploiting Security Dependence for Conditional Speculation Against Spectre Attacks.
IEEE Trans. Computers, 2021

BRIM: Bistable Resistively-Coupled Ising Machine.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

A Lightweight Isolation Mechanism for Secure Branch Predictors.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
CMOS Ising Machines with Coupled Bistable Nodes.
CoRR, 2020

2019
Concurrent Multipoint-to-Multipoint Communication on Interposer Channels.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

R3-DLA (Reduce, Reuse, Recycle): A More Efficient Approach to Decoupled Look-Ahead Architectures.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

To Stack or Not To Stack.
Proceedings of the 28th International Conference on Parallel Architectures and Compilation Techniques, 2019

2018
Venice: An Effective Resource Sharing Architecture for Data Center Servers.
ACM Trans. Comput. Syst., 2018

A Case for a More Effective, Power-Efficient Turbo Boosting.
ACM Trans. Archit. Code Optim., 2018

Bootstrapping: Using SMT Hardware to Improve Single-Thread Performance.
IEEE Comput. Archit. Lett., 2018

Hadoop Configuration Tuning With Ensemble Modeling and Metaheuristic Optimization.
IEEE Access, 2018

High Swing Pulse-Amplitude Modulation of Transmission Line Links for On-Chip Communication.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Division of Labor: A More Effective Approach to Prefetching.
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018

2017
Redundant Memory Array Architecture for Efficient Selective Protection.
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017

T2: A Highly Accurate and Energy Efficient Stride Prefetcher.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

DRUT: An Efficient Turbo Boost Solution via Load Balancing in Decoupled Look-Ahead Architecture.
Proceedings of the 26th International Conference on Parallel Architectures and Compilation Techniques, 2017

2016
Building Expressive and Area-Efficient Directories with Hybrid Representation and Adaptive Multi-Granular Tracking.
IEEE Trans. Computers, 2016

Thread-Aware Adaptive Prefetcher on Multicore Systems: Improving the Performance for Multithreaded Workloads.
ACM Trans. Archit. Code Optim., 2016

Heterogeneous 3-D circuits: Integrating free-space optics with CMOS.
Microelectron. J., 2016

Threads and Data Mapping: Affinity Analysis for Traffic Reduction.
IEEE Comput. Archit. Lett., 2016

Hardware support for protective and collaborative cache sharing.
Proceedings of the 2016 ACM SIGPLAN International Symposium on Memory Management, Santa Barbara, CA, USA, June 14, 2016

Venice: Exploring server architectures for effective resource sharing.
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016

2015
Exploiting Transmission Lines on Heterogeneous Networks-on-Chip to Improve the Adaptivity and Efficiency of Cache Coherence.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

Load Balancing in Decoupled Look-ahead: A Do-It-Yourself (DIY) Approach.
Proceedings of the 2015 International Conference on Parallel Architectures and Compilation, 2015

2014
DEAM: Decoupled, Expressive, Area-Efficient Metadata Cache.
J. Comput. Sci. Technol., 2014

Accelerating decoupled look-ahead via weak dependence removal: A metaheuristic approach.
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014

Protection and utilization in shared cache through rationing.
Proceedings of the International Conference on Parallel Architectures and Compilation, 2014

2013
Energy Efficient Run-Time Incremental Mapping for 3-D Networks-on-Chip.
J. Comput. Sci. Technol., 2013

A coldness metric for cache optimization.
Proceedings of the ACM SIGPLAN Workshop on Memory Systems Performance and Correctness, 2013

Assessment of cloud-based health monitoring using Homomorphic Encryption.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

Building expressive, area-efficient coherence directories.
Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques, 2013

2012
Using Transmission Lines for Global On-Chip Communication.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012

Enhancing effective throughput for transmission line-based bus.
Proceedings of the 39th International Symposium on Computer Architecture (ISCA 2012), 2012

2011
Particle-in-cell simulations with charge-conserving current deposition on graphic processing units.
J. Comput. Phys., 2011

Low latency and energy efficient multicasting schemes for 3D NoC-based SoCs.
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011

Power-Aware Run-Time Incremental Mapping for 3-D Networks-on-Chip.
Proceedings of the Network and Parallel Computing - 8th IFIP International Conference, 2011

A design space exploration of transmission-line links for on-chip interconnect.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

A case for globally shared-medium on-chip interconnect.
Proceedings of the 38th International Symposium on Computer Architecture (ISCA 2011), 2011

Efficient data streaming with on-chip accelerators: Opportunities and challenges.
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011

POPS: Coherence Protocol Optimization for Both Private and Shared Data.
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011

Speculative Parallelization in Decoupled Look-ahead.
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011

2010
A Realistic Evaluation of Memory Hardware Errors and Software System Susceptibility.
Proceedings of the 2010 USENIX Annual Technical Conference, 2010

An intra-chip free-space optical interconnect.
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010

2009
Replacing Associative Load Queues: A Timing-Centric Approach.
IEEE Trans. Computers, 2009

Variation-tolerant hierarchical voltage monitoring circuit for soft error detection.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

DDCache: Decoupled and Delegable Cache Data and Metadata.
Proceedings of the PACT 2009, 2009

2008
Injection-Locked Clocking: A Low-Power Clock Distribution Scheme for High-Performance Microprocessors.
IEEE Trans. Very Large Scale Integr. Syst., 2008

A performance-correctness explicitly-decoupled architecture.
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008

Supporting highly-decoupled thread-level redundancy for parallel programs.
Proceedings of the 14th International Conference on High-Performance Computer Architecture (HPCA-14 2008), 2008

Topic 4: High Performance Architectures and Compilers.
Proceedings of the Euro-Par 2008, 2008

Improving support for locality and fine-grain sharing in chip multiprocessors.
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques, 2008

2007
A Memory Soft Error Measurement on Production Systems.
Proceedings of the 2007 USENIX Annual Technical Conference, 2007

2006
A Load-Store Queue Design Based on Predictive State Filtering.
J. Low Power Electron., 2006

DMDC: Delayed Memory Dependence Checking through Age-Based Filtering.
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 2006

Substituting associative load queue with simple hash tables in out-of-order microprocessors.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

Slackened Memory Dependence Enforcement: Combining Opportunistic Forwarding with Decoupled Verification.
Proceedings of the 33rd International Symposium on Computer Architecture (ISCA 2006), 2006

Program phase detection and exploitation.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006

Software-hardware cooperative memory disambiguation.
Proceedings of the 12th International Symposium on High-Performance Computer Architecture, 2006

Injection-Locked Clocking: A New GHz Clock Distribution Scheme.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006

SEED: scalable, efficient enforcement of dependences.
Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), 2006

2005
Power-Efficient Error Tolerance in Chip Multiprocessors.
IEEE Micro, 2005

A Power-Efficient and Scalable Load-Store Queue Design.
Proceedings of the Integrated Circuit and System Design, 2005

Energy-aware fetch mechanism: trace cache and BTB customization.
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005

Load-Store Queue Management: an Energy-Efficient Design Based on a State-Filtering Mechanism..
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

Exploiting Coarse-Grain Verification Parallelism for Power-Efficient Fault Tolerance.
Proceedings of the 14th International Conference on Parallel Architectures and Compilation Techniques (PACT 2005), 2005

2004
Dynamically reducing pressure on the physical register file through simple register sharing.
Proceedings of the 2004 IEEE International Symposium on Performance Analysis of Systems and Software, 2004

EXPERT: expedited simulation exploiting program behavior repetition.
Proceedings of the 18th Annual International Conference on Supercomputing, 2004

The Thrifty Barrier: Energy-Aware Synchronization in Shared-Memory Multiprocessors.
Proceedings of the 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 2004

2003
Customizing the Branch Predictor to Reduce Complexity and Energy Consumption.
IEEE Micro, 2003

Dynamically Tuning Processor Resources with Adaptive Processing.
Computer, 2003

Branch prediction on demand: an energy-efficient solution.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

Positional Adaptation of Processors: Application to Energy Reduction.
Proceedings of the 30th International Symposium on Computer Architecture (ISCA 2003), 2003

2002
Managing Processor Adaptation for Energy Reduction and Temperature Control
PhD thesis, 2002

Cherry: checkpointed early resource recycling in out-of-order microprocessors.
Proceedings of the 35th Annual International Symposium on Microarchitecture, 2002

Energy-efficient hybrid wakeup logic.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002

2001
The Design of DEETM: a Framework for Dynamic Energy Efficiency and Temperature Management.
J. Instr. Level Parallelism, 2001

L1 data cache decomposition for energy efficiency.
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001

2000
A framework for dynamic energy efficiency and temperature management.
Proceedings of the 33rd Annual IEEE/ACM International Symposium on Microarchitecture, 2000

Energy/Performance Design of Memory Hierarchies for Processor-in-Memory Chips.
Proceedings of the Intelligent Memory Systems, Second International Workshop, 2000


  Loading...