Mohamed Abdelghany

Orcid: 0000-0002-6282-7738

Affiliations:
  • German University in Cairo, New Cairo, Egypt


According to our database1, Mohamed Abdelghany authored at least 90 papers between 2007 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Near-memory Computing on FPGAs with 3D-stacked Memories: Applications, Architectures, and Optimizations.
ACM Trans. Reconfigurable Technol. Syst., March, 2023

NDP-RANK: Prediction and ranking of NDP systems performance using machine learning.
Microprocess. Microsystems, February, 2023

A Near-Memory Dynamically Programmable Many-Core Overlay.
Proceedings of the 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2023

Deep/Federated Learning Algorithms for Ultrasound Breast Cancer Image Enhancement.
Proceedings of the International Conference on Microelectronics, 2023

Acoustic Device for Detecting Red Palm Weevil Using Deep Learning and IoT.
Proceedings of the International Conference on Microelectronics, 2023

A Review on Hyperdimensional Computing.
Proceedings of the International Conference on Microelectronics, 2023

Performance Estimation and Prototyping of Reconfigurable Near-Memory Computing Systems.
Proceedings of the 33rd International Conference on Field-Programmable Logic and Applications, 2023

Compiler-Assisted Kernel Selection for FPGA-based Near-Memory Computing Platforms.
Proceedings of the 31st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2023

Auto-DOK: Compiler-Assisted Automatic Detection of Offload Kernels for FPGA-HBM Architectures.
Proceedings of the 26th Euromicro Conference on Digital System Design, 2023

2022
Event Tree Reliability Analysis of Safety-Critical Systems Using Theorem Proving.
IEEE Syst. J., 2022

Vehicle Risk Assessment and Control for Lane-Keeping and Collision Avoidance in Urban and Highway Driving Scenarios.
CoRR, 2022

Formalization of Functional Block Diagrams Using HOL Theorem Proving.
Proceedings of the Formal Methods: Foundations and Applications - 25th Brazilian Symposium, 2022

Face Masked and Unmasked Humans Detection and Tracking in Video Surveillance.
Proceedings of the 4th Novel Intelligent and Leading Emerging Sciences Conference, 2022

High Performance Design of Traffic-Flow Prediction Using Artificial Neural Network.
Proceedings of the International Conference on Microelectronics, 2022

Internet of Wearable Medical Things for COVID-19 Diagnostics.
Proceedings of the International Conference on Microelectronics, 2022

Hardware Acceleration of a Fully Parallel Viterbi Decoder Architecture for Narrow Band IOT.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

Reinforcement-Learning Based Method for Accelerating Functional Coverage Closure of Traffic Light Controller Dynamic Digital Design.
Proceedings of the 32nd International Conference on Computer Theory and Applications, 2022

Formal Probabilistic Risk Assessment of a Nuclear Power Plant.
Proceedings of the 8th ACM SIGPLAN International Workshop on Formal Techniques for Safety-Critical Systems, 2022

2021
Horizontal Review on Video Surveillance for Smart Cities: Edge Devices, Applications, Datasets, and Future Trends.
Sensors, 2021

Formal FT-based Cause-Consequence Reliability Analysis using Theorem Proving.
CoRR, 2021

Hardware Acceleration of High Sensitivity Power-Aware Epileptic Seizure Detection System Using Dynamic Partial Reconfiguration.
IEEE Access, 2021

Cause-Consequence Diagram Reliability Analysis Using Formal Techniques With Application to Electrical Power Networks.
IEEE Access, 2021

A Review on Charging Systems for Electric Vehicles in Smart Cities.
Proceedings of the 7th International Conference on Vehicle Technology and Intelligent Transport Systems, 2021

High Performance Machine Learning Models for Functional Verification of Hardware Designs.
Proceedings of the 3rd Novel Intelligent and Leading Emerging Sciences Conference, 2021

Online Constraints Update Using Machine Learning for Accelerating Hardware Verification.
Proceedings of the 3rd Novel Intelligent and Leading Emerging Sciences Conference, 2021

Formalization of RBD-Based Cause Consequence Analysis in HOL.
Proceedings of the Intelligent Computer Mathematics - 14th International Conference, 2021

Deep Learning in Signal Linearization for Harmonic Imaging Application.
Proceedings of the 18th IEEE International Symposium on Biomedical Imaging, 2021

Comparison of Patient Specific and General Classification of Epileptic Seizure Prediction.
Proceedings of the International Conference on Microelectronics, 2021

Speed Up Functional Coverage Closure of CORDIC Designs Using Machine Learning Models.
Proceedings of the International Conference on Microelectronics, 2021

Register Transfer Level Model For CNN Tumor Detection on FPGA.
Proceedings of the International Conference on Microelectronics, 2021

Efficient Sequence Generation for Hardware Verification Using Machine Learning.
Proceedings of the 28th IEEE International Conference on Electronics, 2021

Near-Data-Processing Architectures Performance Estimation and Ranking using Machine Learning Predictors.
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021

2020
HPPT-NoC: A Dark-Silicon Inspired Hierarchical TDM NoC with Efficient Power-Performance Trading.
IEEE Trans. Parallel Distributed Syst., 2020

ETMA: A New Software for Event Tree Analysis with Application to Power Protection.
CoRR, 2020

A Formally Verified HOL4 Algebra for Event Trees.
CoRR, 2020

ETMA: An Efficient Tool for Event Trees Modeling and Analysis.
Proceedings of the IEEE International Systems Conference, 2020

Efficient Hardware Implementation for IoT Security System.
Proceedings of the 2nd Novel Intelligent and Leading Emerging Sciences Conference, 2020

Sign Language Interpreter System: An alternative system for machine learning.
Proceedings of the 2nd Novel Intelligent and Leading Emerging Sciences Conference, 2020

Energy Efficient Synchronous - Asynchronous Circuit-Switched NoC.
Proceedings of the 9th International Conference on Modern Circuits and Systems Technologies, 2020

IoT based Wireless Energy Efficient Smart Metering System Using ZigBee in Smart Cities.
Proceedings of the 7th International Conference on Internet of Things: Systems, 2020

Comparative Study on Segmentation Techniques for Biomedical Images.
Proceedings of the 32nd International Conference on Microelectronics, 2020

Deep Learning Utilization in Beamforming Enhancement for Medical Ultrasound.
Proceedings of the 44th IEEE Annual Computers, Software, and Applications Conference, 2020

SysIDLib: A High-Level Synthesis FPGA Library for Online System Identification.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2020

2019
Low-Power Hardware Implementation of a Support Vector Machine Training and Classification for Neural Seizure Detection.
IEEE Trans. Biomed. Circuits Syst., 2019

FPGA implementation of dynamically reconfigurable IoT security module using algorithm hopping.
Integr., 2019

Dark-Silicon Inspired Energy Efficient Hierarchical TDM NoC.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Hardware/Software-Codesign for Hand Gestures Recognition using a Convolutional Neural Network.
Proceedings of the INTESA 2019 Proceedings, 2019

2018
Vehicle Risk Assessment and Control for Lane-Keeping and Collision Avoidance at Low-Speed and High-Speed Scenarios.
IEEE Trans. Veh. Technol., 2018

Analysis of Synchronous-Asynchronous NoC for the Dark Silicon Era.
Proceedings of the 2018 IEEE Nordic Circuits and Systems Conference, 2018

Dynamically reconfigurable power efficient security for Internet of Things devices.
Proceedings of the 7th International Conference on Modern Circuits and Systems Technologies, 2018

DDR2 Memory Controller for Multi-core Systems with AMBA AXI Interface.
Proceedings of the 30th International Conference on Microelectronics, 2018

Fully automated CADx for early breast cancer detection using image processing and machine learning.
Proceedings of the 30th International Conference on Microelectronics, 2018

Joint NIR-BIS Based Non-Invasive Glucose Monitoring System.
Proceedings of the 30th International Conference on Microelectronics, 2018

Resource Aware Space Mission Routing.
Proceedings of the 2018 International Conference on Computer and Applications (ICCA), 2018

Integrated Sensors for Early Breast Cancer Diagnostics.
Proceedings of the 21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2018

2017
Survey on Real-Time Networks-on-Chip.
IEEE Trans. Parallel Distributed Syst., 2017

A call-up for circuit-switched NoCs in the Dark-Silicon Era.
Proceedings of the IEEE Nordic Circuits and Systems Conference, 2017

Unobtrusive Wearable Health Monitoring System.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

V2V-based vehicle risk assessment and control for lane-keeping and collision avoidance.
Proceedings of the 29th International Conference on Microelectronics, 2017

Hardware acceleration of novel chaos-based image encryption for IoT applications.
Proceedings of the 29th International Conference on Microelectronics, 2017

NICBPM: Non-invasive cuff-less blood pressure monitor.
Proceedings of the 29th International Conference on Microelectronics, 2017

Wireless IoT based metering system for energy efficient smart cites.
Proceedings of the 29th International Conference on Microelectronics, 2017

Efficient polynomial regression algorithm for LTE turbo decoding.
Proceedings of the 24th IEEE International Conference on Electronics, Circuits and Systems, 2017

2016
Efficient implementation of the AES algorithm for security applications.
Proceedings of the 29th IEEE International System-on-Chip Conference, 2016

ARTNoCs: An Evaluation Framework for Hardware Architectures of Real-Time NoCs.
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium Workshops, 2016

Real-time sleep detection and warning system to ensure driver's safety based on EEG.
Proceedings of the 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2016

2015
Efficient wearable real-time vital signs monitoring system.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

Online bicast allocation algorithm for contention-free-routing NoCs.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

Survey on Real-Time Network-on-Chip Architectures.
Proceedings of the Applied Reconfigurable Computing - 11th International Symposium, 2015

2014
An efficient maximum power point tracking algorithm for solar PV panels.
Proceedings of the 2014 NORCHIP, Tampere, Finland, October 27-28, 2014, 2014

High throughput architecture for the Advanced Encryption Standard Algorithm.
Proceedings of the 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2014

2013
All-pMOS 50-V Charge Pumps Using Low-Voltage Capacitors.
IEEE Trans. Ind. Electron., 2013

Coding algorithms for network on a chip.
Proceedings of the 2013 IEEE International SOC Conference, Erlangen, Germany, 2013

Real-time efficient FPGA implementation of aes algorithm.
Proceedings of the 2013 IEEE International SOC Conference, Erlangen, Germany, 2013

Performability measurement of coding algorithms for network on chip.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

Hybrid Mesh-Ring wireless NoC for multi-core system.
Proceedings of the 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2013

2012
Performability of error control schemes for NOC interconnects.
Proceedings of the NORCHIP 2012, Copenhagen, Denmark, November 12-13, 2012, 2012

Hybrid Mesh-Ring wireless Network on Chip for multi-core system.
Proceedings of the International SoC Design Conference, 2012

A simulation framework for 3-dimension Networks-on-chip with different vertical channel density configurations.
Proceedings of the IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2012

CDMA technique for Network-on-Chip.
Proceedings of the IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2012

2011
Power characteristics of Asynchronous Networks-on-Chip.
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011

Highly reliable and power efficient NOC interconnects.
Proceedings of the 2011 NORCHIP, Lund, Sweden, November 14-15, 2011, 2011

2010
Power analysis for Asynchronous CLICHÉ Network-on-Chip.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010

Power characteristics of Networks on Chip.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Asynchronous BFT for low power networks on chip.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

2009
High throughput architecture for CLICHÉ Network on Chip.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2009, 2009

High Throughput Architecture for High Performance NoC.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Power efficient Networks on Chip.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

High Throughput architecture for OCTAGON Network on Chip.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

2007
Design and Implementation of FPGA-based Systolic Array for LZ Data Compression.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007


  Loading...