Mohammed Ismail

Orcid: 0000-0001-9574-0949

Affiliations:
  • Khalifa University, Abu Dhabi, United Arab Emirates
  • Wayne State University, Detroit, MI, USA
  • Ohio State University, Analog VLSI Laboratory, Columbus, OH, USA (former)
  • Royal Institute of Technology, Stockholm, Sweden (former)


According to our database1, Mohammed Ismail authored at least 206 papers between 1989 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
A 116 TOPS/W Spatially Unrolled Time-Domain Accelerator Utilizing Laddered-Inverter DTC for Energy-Efficient Edge Computing in 65 nm.
IEEE Open J. Circuits Syst., 2023

2022
A High-Speed ADC for a Multi-Band 5G V2X Wireless Receiver.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Analysis and Effects of Aging and Electromigration on Mixed-Signal ICs in 22nm FDSOI Technology.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

High Efficiency Power Amplifier Design for 28 GHz 5G Transmitters
Springer, ISBN: 978-3-030-92745-5, 2022

2021
Wireless Charging of IoT Devices in Smart Homes Using Retrodirective WPT.
Proceedings of the 64th IEEE International Midwest Symposium on Circuits and Systems, 2021

A Comparative Analysis of Time-Domain and Digital-Domain Hardware Accelerators for Neural Networks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

2020
A Programmable Radio Architecture for 5G Vehicle to Everything Communication.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

Compute-in-Time for Deep Neural Network Accelerators: Challenges and Prospects.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

A Low-Power, Digitally-Controlled, Multi-Stable, CMOS Analog Memory Circuit.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

Power Management for Wearable Electronic Devices
Springer, ISBN: 978-3-030-37883-7, 2020

2019
A Gain-Controlled, Low-Leakage Dickson Charge Pump for Energy-Harvesting Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Ultra-Low Power QRS Detection and ECG Compression Architecture for IoT Healthcare Devices.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Cascaded power management unit characterization for TEG-based IoT devices in 65 nm CMOS.
Microelectron. J., 2019

Hardware security threats and countermeasures: a study of obfuscation, camouflaging and PUFs.
Int. J. Multim. Intell. Secur., 2019

2018
A Nano-Watt ECG Feature Extraction Engine in 65-nm Technology.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

A Dual-Output Switched Capacitor DC-DC Buck Converter Using Adaptive Time Multiplexing Technique in 65-nm CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A 93% Peak Efficiency Fully-Integrated Multilevel Multistate Hybrid DC-DC Converter.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A Nanowatt Real-Time Cardiac Autonomic Neuropathy Detector.
IEEE Trans. Biomed. Circuits Syst., 2018

A Charge Pump Based Power Management Unit With 66%-Efficiency in 65 nm CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

An Efficient and Small Area Multioutput Switched Capacitor Buck Converter for IoTs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Miniature Dual Band Antenna for WPT application.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

2017
Ultra-Low Power, Secure IoT Platform for Predicting Cardiovascular Diseases.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

An Efficient Zero Current Switching Control for L-Based DC-DC Converters in TEG Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2017

An Efficient Polarity Detection Technique for Thermoelectric Harvester in L-based Converters.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

A sub-μW bio-potential front end in 65nm CMOS.
Proceedings of the 2017 IFIP/IEEE International Conference on Very Large Scale Integration, 2017

A self-powered IoT SoC platform for wearable health care.
Proceedings of the 2017 IFIP/IEEE International Conference on Very Large Scale Integration, 2017

Assessment of seven reconstruction methods for contemporary compressive sensing.
Proceedings of the 24th IEEE International Conference on Electronics, Circuits and Systems, 2017

Doherty CMOS power amplifiers for 5G technology.
Proceedings of the 24th IEEE International Conference on Electronics, Circuits and Systems, 2017

Characterization of RF energy harvesting at 2.4 GHz.
Proceedings of the 24th IEEE International Conference on Electronics, Circuits and Systems, 2017

2016
Low-Power ECG-Based Processor for Predicting Ventricular Arrhythmia.
IEEE Trans. Very Large Scale Integr. Syst., 2016

An Efficient Switched-Capacitor DC-DC Buck Converter for Self-Powered Wearable Electronics.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

An AC-DC converter for human body-based vibration energy harvesting.
Microelectron. J., 2016

Fused floating point arithmetic for discrete wavelet transform.
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016

Electret-free electrostatic energy harvesting interface circuit design and analysis.
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016

A multi-input, multi-output power management unit using dickson charge pump for energy harvesting applications.
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016

An 83% efficiency, 0.6V to 1V output switched-capacitor DC-DC converter for micro-watt power applications.
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016

A 0.23 mW, On-Chip, self-calibrating RF amplitude detector in 65 nm CMOS.
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016

Initial optimization of graphene coated fabrics for ECG sensors.
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016

Novel logarithmic ECG feature extraction algorithm based on pan and tompkins.
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016

Power management unit for multi-source energy harvesting in wearable electronics.
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016

A biomedical SoC architecture for predicting ventricular arrhythmia.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

An efficient thermal energy harvesting and power management for μWatt wearable BioChips.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

2015
Design Methodologies for Yield Enhancement and Power Efficiency in SRAM-Based SoCs.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Simplified Subspaced Regression Network for Identification of Defect Patterns in Semiconductor Wafer Maps.
IEEE Trans. Ind. Informatics, 2015

Evolutionary QR-Based Traffic Sign Recognition System for Next-Generation Intelligent Vehicles.
Proceedings of the IEEE 82nd Vehicular Technology Conference, 2015

Adaptive ECG interval extraction.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A 65-nm low power ECG feature extraction system.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Novel MSER-guided street extraction from satellite images.
Proceedings of the 2015 IEEE International Geoscience and Remote Sensing Symposium, 2015

Novel fast and scalable parallel union-find ASIC implementation for real-time digital image segmentation.
Proceedings of the IECON 2015, 2015

A maximally stable extremal regions system-on-chip for real-time visual surveillance.
Proceedings of the IECON 2015, 2015

Impulsive noise mitigation for μ-law companded OFDM-based communication system.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

A simple hybrid 3-level buck-boost DC-DC converter with efficient PWM regulation scheme.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

A robust histogram-based image segmentation ASIC design for System-on-Chip using 65nm technology.
Proceedings of the International Conference on Communications, 2015

An all-digital, CMOS zero current switching circuit for thermal energy harvesting.
Proceedings of the European Conference on Circuit Theory and Design, 2015

2014
A Systematic Design Methodology for Low-Power NoCs.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Dynamic Self-Regulated Charge Pump With Improved Immunity to PVT Variations.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Characterization of Human Body-Based Thermal and Vibration Energy Harvesting for Wearable Devices.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2014

Implementation of boost converter zero current detection using digital ASIC design flow.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

Digital pulse frequency modulation for switched capacitor DC-DC converter on 65nm process.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

LDO regulator versus switched inductor DC-DC converter.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

Switched capacitor DC-DC converter for ultra-low power applications.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

Numerical analysis of nano schottky junctions for developing novel sub-20 nm electronic devices.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

Adaptive technique for P and T wave delineation in electrocardiogram signals.
Proceedings of the 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2014

2013
Analysis of the interface barriers between nano metal particles and semiconductors substrates.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

A nanoscale CMOS charge pump with near perfect current matching.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

Welcome to MWSCAS 2013.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

Survey of wireless baseband SoC for biomedical application.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

Automated real-time video surveillance algorithms for SoC implementation: A survey.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

The revolution of glucose monitoring methods and systems: A survey.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

A survey of thermal energy harvesting techniques and interface circuitry.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

Energy efficient system-on-chip architecture for non-invasive mobile monitoring of diabetics.
Proceedings of the 8th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2013

2012
Built-in-Self-Test and Digital Self-Calibration for RF SoCs
Springer Briefs in Electrical and Computer Engineering, Springer, ISBN: 978-1-4419-9548-3, 2012

Adaptive slope and threshold companding technique for PAPR reduction in OFDM systems.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

A RF/DC current-mode detector for BiST and digital calibration of current-driven mixers.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

2011
VCO-Based Quantizers Using Frequency-to-Digital and Time-to-Digital Converters
Springer Briefs in Electrical and Computer Engineering, Springer, ISBN: 978-1-4419-9722-7, 2011

Power characteristics of Asynchronous Networks-on-Chip.
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011

Highly reliable and power efficient NOC interconnects.
Proceedings of the 2011 NORCHIP, Lund, Sweden, November 14-15, 2011, 2011

Layout-aware high performance interconnects for Network-on-Chip design in deep nanometer technologies.
Proceedings of the 6th IEEE International Design and Test Workshop, 2011

Enabling efficient built-in-self-calibration for RFICs.
Proceedings of the 18th IEEE International Conference on Electronics, Circuits and Systems, 2011

Mixed-Mode I/Q mismatches compensation in low-IF quadrature receivers.
Proceedings of the 18th IEEE International Conference on Electronics, Circuits and Systems, 2011

A 500 nA quiescent, 100 mA maximum load CMOS low-dropout regulator.
Proceedings of the 18th IEEE International Conference on Electronics, Circuits and Systems, 2011

2010
Optimal Sigma Delta Modulator Architectures for Fractional- N Frequency Synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Industry-Oriented Laboratory Development for Mixed-Signal IC Test Education.
IEEE Trans. Educ., 2010

Multimode Reconfigurable Digital SigmaDelta Modulator Architecture for Fractional-N PLLs.
IEEE Trans. Circuits Syst. II Express Briefs, 2010

Power analysis for Asynchronous CLICHÉ Network-on-Chip.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010

Transceiver parameter detection using a high conversion gain RF amplitude detector.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

An IIP2 digital calibration technique for passive CMOS down-converters.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A resistor-free temperature-compensated CMOS current reference.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

An industry-driven laboratory development for mixed-signal IC test education.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Power characteristics of Networks on Chip.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Asynchronous BFT for low power networks on chip.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

50 nA, 1 V nanowatt resistor-free compact CMOS current references.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

A true zero-load stable CMOS capacitor-free low-dropout regulator with excessive gain reduction.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

A 2.3-GHz to 5.8-GHz CMOS receiver front-end for WiMAX/WLAN.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

A compact model for MM-wave transmission lines and interconnects on lossy CMOS substrates.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

Sub-THz high gain wide-band low noise amplifiers in 90nm RF CMOS technology.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

2009
A Wide Tuning Range G<sub>m</sub>-C Filter for Multi-Mode CMOS Direct-Conversion Wireless Receivers.
IEEE J. Solid State Circuits, 2009

Input match and load tank digital calibration of an inductively degenerated CMOS LNA.
Integr., 2009

AMS/RF-CMOS circuit design for wireless transceivers.
Integr., 2009

High throughput architecture for CLICHÉ Network on Chip.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2009, 2009

WiMAX/LTE Receiver Front-end in 90nm CMOS.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

High Throughput Architecture for High Performance NoC.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

A CMOS amplitude detector for RF-BIST and calibration.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

Power efficient Networks on Chip.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

High Throughput architecture for OCTAGON Network on Chip.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

Digital self-aware charge pump calibration technique for frequency synthesizers.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

2008
A Low-Voltage Low-Power CMOS Analog Adaptive Equalizer for UTP-5 Cables.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

Digital calibration of gain and linearity in a CMOS RF mixer.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

A reconfigurable successive approximation ADC in 0.18μm CMOS technology.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

A flexible algorithmic ADC for wireless sensor nodes.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

Substrate Noise Coupling in RFICs.
Analog Circuits and Signal Processing, Springer, ISBN: 978-1-4020-8165-1, 2008

2007
Adaptive Noise Cancellation Techniques in Sigma-Delta Analog-to-Digital Converters.
IEEE Trans. Circuits Syst. I Regul. Pap., 2007

CMOS low-power bandpass IF filter for Bluetooth.
IET Circuits Devices Syst., 2007

TrACS: transceiver architecture and wireless channel simulator.
Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, 2007

First-pass-silicon radio IPs for B3G wireless networks.
Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, 2007

WiMAX: a competing or complementary technology to 3G?
Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, 2007

TACT as a Learning Tool for Radio Design.
Proceedings of the IEEE International Conference on Microelectronic Systems Education, 2007

Systematic Design of a Flash ADC for UWB Applications.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

A 3.7mW, 1.6V CMOS Analog Adaptive Equalizer for a 125Mbps Wire-Line Transceiver.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Vertical Handover for 4G Multi-Standard Wireless Transceivers.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

Behavioral Modeling of a Programmable UWB/Bluetooth ADC.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

A wide tuning range Gm-C filter for multi-mode direct-conversion wireless receivers.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007

Ultra-low power 2.4 GHz CMOS receiver front-end for sensor nodes.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

Flexible ADCs for wireless mobile radios.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

EDA for RF and analog front-ends in the 4G era: Challenges and solutions.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

2006
A multiband CMOS RF front-end for 4G WiMAX and WLAN applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Sigma-Delta Solutions for Future Wireless Handields.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

Automated Design of a WCDMA/WLAN Multi-standard Receiver.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

Analysis of Wideband CMOS Low Noise Amplifiers using current-reuse configuration.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

2005
A low-voltage, highly linear voltage-controlled transconductor.
IEEE Trans. Circuits Syst. II Express Briefs, 2005

A Modified Cascaded Sigma-Delta Modulator with Improved Linearity.
Proceedings of the 2005 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2005), 2005

A concurrent multi-band LNA for multi-standard radios.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Adaptive digital techniques to suppress quantization noise of Sigma Delta analog to digital converters.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005

2004
A single-chip CMOS transceiver for 802.11a/b/g wireless LANs.
IEEE J. Solid State Circuits, 2004

Design of a Power/Performance Efficient Single-Loop Sigma-Delta Modulator for Wireless Receivers.
Proceedings of the Integrated Circuit and System Design, 2004

A CMOS multi-standard receiver architecture for ISM and UNII band applications.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

A low voltage CMOS transresistance-based variable gain amplifier.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

A digital tuning algorithm for on-chip resistors.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

2003
RF bandpass filter design based on CMOS active inductors.
IEEE Trans. Circuits Syst. II Express Briefs, 2003

A CMOS fully balanced second-generation current conveyor.
IEEE Trans. Circuits Syst. II Express Briefs, 2003

A 10-GHz CMOS quadrature LC-VCO for multirate optical applications.
IEEE J. Solid State Circuits, 2003

A CMOS radio receiver architecture for ISM/UNII multi-standard wireless applications.
Proceedings of the 2003 10th IEEE International Conference on Electronics, 2003

A reconfigurable low IF-zero IF receiver architecture for multi-standard wide area wireless networks.
Proceedings of the 2003 10th IEEE International Conference on Electronics, 2003

A CMOS channel-select tunable filter for 3G wireless receivers.
Proceedings of the 2003 10th IEEE International Conference on Electronics, 2003

A single chip radio transceiver for 802.11a/b/g WLAN in 0.18μ CMOS.
Proceedings of the 2003 10th IEEE International Conference on Electronics, 2003

2002
A CMOS highly linear channel-select filter for 3G multistandard integrated wireless receivers.
IEEE J. Solid State Circuits, 2002

A wide-band RF front-end for multiband multistandard high-linearity low-IF wireless receivers.
IEEE J. Solid State Circuits, 2002

A new fast-settling gearshift adaptive PLL to extend loop bandwidth enhancement in frequency synthesizers.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

GHz programmable dual-modulus prescaler for multi-standard wireless applications.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

A digitally generated exponential function for dB-linear CMOS variable gain amplifiers.
Proceedings of the 14th International Conference on Digital Signal Processing, 2002

2001
Design techniques for improving intrinsic accuracy of resistor string DACs.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

A single-chip CMOS front-end receiver architecture for multi-standard wireless applications.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

Dual-loop cross-coupled feedback amplifier for low-IF integrated receiver architecture.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

2000
Design of a low-power CMOS baseband circuit for wideband CDMA testbed (poster session).
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000

Temperature compensation design for a 2.4 GHz CMOS low noise amplifier.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

A novel CMOS fully differential inductorless RF bandpass filter.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

Application of a statistical design methodology to low voltage analog MOS integrated circuits.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

A low-power high-linearity CMOS baseband filter for wideband CDMA applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

Digitally tuned analog integrated filters using the R-2R ladder.
Proceedings of the 2000 7th IEEE International Conference on Electronics, 2000

1999
Operational Transconductance Amplifiers.
Proceedings of the VLSI Handbook., 1999

Robust Design of Basic Low Voltage CMOS Transconductors.
J. VLSI Signal Process., 1999

The Implementation of a VHDL-AMS to SPICE Converter.
J. VLSI Signal Process., 1999

Functional yield enhancement and statistical design of a low power transconductor.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

Accurate modeling of simultaneous switching noise in low voltage digital VLSI.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

A 2 V 5th-order fully-differential CMOS Gm-C filter for wideband communication.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

A 5 MHz Nyquist rate continuous-time sigma-delta modulator for wideband wireless communication.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

Design and analysis of an ultra low-voltage CMOS class-AB V-I converter for dynamic range enhancement.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

A low voltage CMOS class AB operational transconductance amplifier.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

A simple low-voltage all MOS linear-dB AGC/multiplier circuit.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

A Lower Power CMOS Micromixer for GHz Wireless Applications.
Proceedings of the VLSI: Systems on a Chip, 1999

Nonlinearity Analysis of a Short Channel CMOS Circuit for RFIC Applications.
Proceedings of the VLSI: Systems on a Chip, 1999

Optimizing Mixer Noise Performance: A 2.4 GHz Gilbert Downconversion Mixer for W-CDMA Application.
Proceedings of the VLSI: Systems on a Chip, 1999

A fully integrated 1 GHz BiCMOS VCO.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

Synthesis and analysis of high-order cascaded continuous-time ΣΔ modulators.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

Speed improvement of ΣΔ modulators simulation at the device level.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

Analysis of nonlinearities in RF CMOS amplifiers.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

Indirect negative feedback bipolar LNA.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

Linear Transconductors Using Low Voltage Low Power Square-Law Cmos Cells.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999

A 1.8V High Dynamic-Range CMOS High-Speed Four Quadrant Multiplier.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999

A Second-Order Sigma-Delta Modulator with Built-in VGA to Improve SNR and Harmonic Distortion.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999

1998
High performance analog VLSI computational circuits.
IEEE J. Solid State Circuits, 1998

Statistical Design of a Multiplier using a Low Power Square-Law CMOS Analog Cell.
Proceedings of the 11th Annual Symposium on Integrated Circuits Design, 1998

A Low-Voltage CMOS Exponential Function Circuit for AGC Applications.
Proceedings of the 11th Annual Symposium on Integrated Circuits Design, 1998

Linear MOSFET-C Integrators using a Single Triode Region MOS Resistance.
Proceedings of the 11th Annual Symposium on Integrated Circuits Design, 1998

Statistical design of a D/A converter based on the current division technique.
Proceedings of the 5th IEEE International Conference on Electronics, Circuits and Systems, 1998

A low-voltage low-power CMOS V-I converter with rail-to-rail differential input for filtering applications.
Proceedings of the 5th IEEE International Conference on Electronics, Circuits and Systems, 1998

A 1.8 V low-power CMOS high-speed four quadrant multiplier with rail-to-rail differential input.
Proceedings of the 5th IEEE International Conference on Electronics, Circuits and Systems, 1998

Low voltage digitally controlled dB-linear CMOS VGA circuit for wireless communication.
Proceedings of the 5th IEEE International Conference on Electronics, Circuits and Systems, 1998

Low Voltage Low power CMOS AGC circuit for wireless communication.
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998

1997
A low-voltage, low-power CMOS fifth-order elliptic GM-C filter for baseband mobile, wireless communication.
IEEE Trans. Circuits Syst. Video Technol., 1997

1996
Robust design of rail-to-rail CMOS operational amplifiers for a low power supply voltage.
IEEE J. Solid State Circuits, 1996

High speed, high linearity CMOS buffer amplifier.
IEEE J. Solid State Circuits, 1996

Low-voltage, micropower weak-inversion CMOS GM-C filter.
Proceedings of Third International Conference on Electronics, Circuits, and Systems, 1996

1995
The Design of High Performance Low Cost BiCMOS Op-amps in a Predominantly CMOS Technology.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995

1994
Statistical Constrained Optimization of Analog CMOS Circuits using Empirical Performance Models.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

A Test Structurefor Extraction of Resistance Matching Properties.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

New Single-Capacitor MOSFET-C Integrators: Analysis, Design & Applications.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

Four-Quadrant CMOS/BiCMOS Multipliers Using Linear-Region MOS Transistors.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

1993
Yield Optimzation of Analog MOS Integrated Including Transistor Mismatch.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993

A CMOS Square-law Programmable Floating Resistor.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993

A Configurable CMOS Multiplier/Divider for Analog VLSI.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993

Characterization of Transistor Mismatch for Statistical CAD of Submicron CMOS Analog Circuits.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993

1992
Very-high-output-impedance cascode current sources/current mirrors/transresistance stages and their applications.
Int. J. Circuit Theory Appl., 1992

SMOS: A CAD-compatible statistical model for analogue mos integrated circuit simulation.
Int. J. Circuit Theory Appl., 1992

1991
A Computer-Aided Design Toolkit For Continuous/Discrete Systems Based On Continued Fractions.
Int. J. Circuit Theory Appl., 1991

1989
Issues in Analog VLSI and MOS Techniques for Neural Computing.
Proceedings of the Analog VLSI Implementation of Neural Systems, 1989


  Loading...