Pablo Ibáñez

Orcid: 0000-0002-5916-7898

According to our database1, Pablo Ibáñez authored at least 45 papers between 1996 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Accurate and efficient constrained molecular dynamics of polymers using Newton's method and special purpose code.
Comput. Phys. Commun., July, 2023

BALANCER: bandwidth allocation and cache partitioning for multicore processors.
J. Supercomput., June, 2023

Porting and Optimizing BWA-MEM2 Using the Fujitsu A64FX Processor.
IEEE ACM Trans. Comput. Biol. Bioinform., 2023

MNEMOSENE++: Scalable Multi-Tile Design with Enhanced Buffering and VGSOT-MRAM based Compute-in-Memory Crossbar Array.
Proceedings of the 30th IEEE International Conference on Electronics, Circuits and Systems, 2023

Compression-Aware and Performance-Efficient Insertion Policies for Long-Lasting Hybrid LLCs.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

RISC-V for Genome Data Analysis: Opportunities and Challenges.
Proceedings of the 38th Conference on Design of Circuits and Integrated Systems, 2023

2022
Compressed Sparse FM-Index: Fast Sequence Alignment Using Large K-Steps.
IEEE ACM Trans. Comput. Biol. Bioinform., 2022

L2C2: Last-Level Compressed-Cache NVM and a Procedure to Forecast Performance and Lifetime.
CoRR, 2022

Forecasting lifetime and performance of a novel NVM last-level cache with compression.
CoRR, 2022

Berti: an Accurate Local-Delta Data Prefetcher.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

HyCSim: A rapid design space exploration tool for emerging hybrid last-level caches.
Proceedings of the DroneSE and RAPIDO '22: System Engineering for constrained embedded systems, Budapest Hungary, January 17, 2022

2021
Near-optimal replacement policies for shared caches in multicore processors.
J. Supercomput., 2021

A learning experience toward the understanding of abstraction-level interactions in parallel applications.
J. Parallel Distributed Comput., 2021

Synchronization Strategies on Many-Core SMT Systems.
Proceedings of the 33rd IEEE International Symposium on Computer Architecture and High Performance Computing, 2021

Exploring the Application of Process Mining Techniques to Improve Web Application Security.
Proceedings of the XLVII Latin American Computing Conference, 2021

2020
Accelerating Sequence Alignments Based on FM-Index Using the Intel KNL Processor.
IEEE ACM Trans. Comput. Biol. Bioinform., 2020

2019
A fault-tolerant last level cache for CMPs operating at ultra-low voltage.
J. Parallel Distributed Comput., 2019

ReD: A reuse detector for content selection in exclusive shared last-level caches.
J. Parallel Distributed Comput., 2019


Boosting Backward Search Throughput for FM-Index Using a Compressed Encoding.
Proceedings of the Data Compression Conference, 2019

2018
Reuse Detector: Improving the Management of STT-RAM SLLCs.
Comput. J., 2018

2016
Concertina: Squeezing in Cache Content to Operate at Near-Threshold Voltage.
IEEE Trans. Computers, 2016

2015
Accelerating Sparse Arithmetic in the Context of Newton's Method for Small Molecules with Bond Constraints.
Proceedings of the Parallel Processing and Applied Mathematics, 2015

2013
Exploiting reuse locality on inclusive shared last-level caches.
ACM Trans. Archit. Code Optim., 2013

The reuse cache: downsizing the shared last-level cache.
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013

2012
ABS: A low-cost adaptive controller for prefetching in a banked shared last-level cache.
ACM Trans. Archit. Code Optim., 2012

Effcient Handling of Lock Hand-off in DSM Multiprocessors with Buffering Coherence Controllers.
J. Comput. Sci. Technol., 2012

A review of High Performance Computing foundations for scientists
CoRR, 2012

2011
Filtering directory lookups in CMPs.
Microprocess. Microsystems, 2011

Multi-level Adaptive Prefetching based on Performance Gradient Tracking.
J. Instr. Level Parallelism, 2011

Filtering Directory Lookups in CMPs with Write-Through Caches.
Proceedings of the Euro-Par 2011 Parallel Processing - 17th International Conference, 2011

2009
Store Buffer Design for Multibanked Data Caches.
IEEE Trans. Computers, 2009

A Methodology to Characterize Critical Section Bottlenecks in DSM Multiprocessors.
Proceedings of the Euro-Par 2009 Parallel Processing, 2009

2008
Low-Cost Adaptive Data Prefetching.
Proceedings of the Euro-Par 2008, 2008

2007
Data prefetching in a cache hierarchy with high bandwidth and capacity.
SIGARCH Comput. Archit. News, 2007

Characterization of Apache web server with Specweb2005.
Proceedings of the 2007 workshop on MEmory performance, 2007

2006
Software Demand, Hardware Supply.
IEEE Micro, 2006

Speeding-Up Synchronizations in DSM Multiprocessors.
Proceedings of the Euro-Par 2006, Parallel Processing, 12th International Euro-Par Conference, Dresden, Germany, August 28, 2006

2005
Store Buffer Design in First-Level Multibanked Data Caches.
Proceedings of the 32st International Symposium on Computer Architecture (ISCA 2005), 2005

2004
Contents Management in First-Level Multibanked Data Caches.
Proceedings of the Euro-Par 2004 Parallel Processing, 2004

2003
Counteracting Bank Misprediction in Sliced First-Level Caches.
Proceedings of the Euro-Par 2003. Parallel Processing, 2003

2001
Hardware Prefetching in Bus-Based Multiprocessors: Pattern Characterization and Cost-Effective Hardware.
Proceedings of the Ninth Euromicro Workshop on Parallel and Distributed Processing, 2001

2000
Modeling load address behaviour through recurrences.
Proceedings of the 2000 IEEE International Symposium on Performance Analysis of Systems and Software, 2000

1998
Characterization and Improvement of Load/Store Cache-based Prefetching.
Proceedings of the 12th international conference on Supercomputing, 1998

1996
Performance Assessment of Contents Management in Multilevel On-Chip Caches.
Proceedings of the 22rd EUROMICRO Conference '96, 1996


  Loading...