% csauthors.net - beta - BibTeX bibliography of Pallab Dasgupta
@inproceedings{conf/vlsid/DasguptaMCS94,
title = {Multiobjective Search in VLSI Design.},
year = {1994},
booktitle = {VLSI Design},
author = {{Pallab Dasgupta} and {Prasenjit Mitra} and {P. P. Chakrabarti 001} and {S. C. De Sarkar}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the Seventh International Conference on VLSI Design, VLSI Design 1994, Calcutta, India, January 5-8, 1994}
}
@article{journals/icga/DasguptaCS94,
title = {Agent Searching in a Tree and the Optimality of Iterative Deepening.},
year = {1994},
journal = {J. Int. Comput. Games Assoc.},
author = {{Pallab Dasgupta} and {P. P. Chakrabarti 001} and {S. C. De Sarkar}}
}
@inproceedings{conf/fsttcs/DasguptaCS95,
title = {A Near Optimal Algorithm for the Extended Cow-Path Problem in the Presence of Relative Errors.},
year = {1995},
booktitle = {FSTTCS},
author = {{Pallab Dasgupta} and {P. P. Chakrabarti 001} and {S. C. De Sarkar}},
publisher = {Springer},
booktitle = {Foundations of Software Technology and Theoretical Computer Science, 15th Conference, Bangalore, India, December 18-20, 1995, Proceedings}
}
@article{journals/ai/DasguptaCD95,
title = {A Correction to "Agent Searching in a Tree and the Optimality of Iterative Deepening".},
year = {1995},
journal = {Artif. Intell.},
author = {{Pallab Dasgupta} and {P. P. Chakrabarti 001} and {S. C. De Sarkar}}
}
@article{journals/ipl/DasguptaCD95,
title = {Utility of Pathmax in Partial Order Heuristic Search.},
year = {1995},
journal = {Inf. Process. Lett.},
author = {{Pallab Dasgupta} and {P. P. Chakrabarti 001} and {S. C. De Sarkar}}
}
@inproceedings{conf/fsttcs/DasguptaCS96,
title = {A New Competitive Algorithm for Agent Searching in Unknown Streets.},
year = {1996},
booktitle = {FSTTCS},
author = {{Pallab Dasgupta} and {P. P. Chakrabarti 001} and {S. C. De Sarkar}},
publisher = {Springer},
booktitle = {Foundations of Software Technology and Theoretical Computer Science, 16th Conference, Hyderabad, India, December 18-20, 1996, Proceedings}
}
@article{journals/icga/DasguptaCS96,
title = {Searching Game Trees under a Partial Order.},
year = {1996},
journal = {J. Int. Comput. Games Assoc.},
author = {{Pallab Dasgupta} and {P. P. Chakrabarti 001} and {S. C. De Sarkar}}
}
@article{journals/ipl/DasguptaCD96,
title = {Agent Search in Uniform b-Ary Trees: Multiple Goals and Unequal Costs.},
year = {1996},
journal = {Inf. Process. Lett.},
author = {{Pallab Dasgupta} and {P. P. Chakrabarti 001} and {S. C. De Sarkar}}
}
@article{journals/jal/DasguptaCS96,
title = {Multiobjektive Heuristic Search in AND/OR Graphs.},
year = {1996},
journal = {J. Algorithms},
author = {{Pallab Dasgupta} and {P. P. Chakrabarti 001} and {S. C. De Sarkar}}
}
@article{journals/jpdc/DasguptaMB97,
title = {V_THR: An Adaptive Load Balancing Algorithm.},
year = {1997},
journal = {J. Parallel Distributed Comput.},
author = {{Pallab Dasgupta} and {A. K. Majumder} and {P. Bhattacharya}}
}
@article{journals/ipl/Dasgupta98,
title = {Agreement under Faulty Interfaces.},
year = {1998},
journal = {Inf. Process. Lett.},
author = {{Pallab Dasgupta}}
}
@article{journals/jpdc/DasDD98,
title = {A Heuristic for the Maximum Processor Requirement for Scheduling Layered Task Graphs with Coloring.},
year = {1998},
journal = {J. Parallel Distributed Comput.},
author = {{Dibyendu Das 003} and {Pallab Dasgupta} and {Prashanti Das}}
}
@book{books/daglib/0001234,
title = {Multiobjective heuristic search - an introduction to intelligent search methods for multicriteria optimization.},
year = {1999},
isbn = {978-3-528-05708-4},
publisher = {Vieweg},
series = {Computational intelligence},
author = {{Pallab Dasgupta} and {P. P. Chakrabarti 001} and {S. C. De Sarkar}}
}
@inproceedings{conf/hipc/DasDD99,
title = {Adaptive Algorithms for Scheduling Static Task Graphs in Dynamic Distributed Systems.},
year = {1999},
booktitle = {HiPC},
author = {{Prashanti Das} and {Dibyendu Das 003} and {Pallab Dasgupta}},
publisher = {Springer},
booktitle = {High Performance Computing - HiPC'99, 6th International Conference, Calcutta, India, December 17-20, 1999, Proceedings}
}
@inproceedings{conf/vlsid/ChakrabartiDDRLB99,
title = {Controlling State Explosion in Static Simulation by Selective Composition.},
year = {1999},
booktitle = {VLSI Design},
author = {{Partha Pratim Chakrabarti} and {Pallab Dasgupta} and {Partha Pratim Das} and {Arnob Roy} and {Shuvendu K. Lahiri} and {Mrinal Bose}},
publisher = {IEEE Computer Society},
booktitle = {12th International Conference on VLSI Design (VLSI Design 1999), 10-13 January 1999, Goa, India}
}
@inproceedings{conf/vlsid/ChauhanDC99,
title = {Exploiting Isomorphism for Compaction and Faster Simulation of Binary Decision Diagrams.},
year = {1999},
booktitle = {VLSI Design},
author = {{Pankaj Chauhan} and {Pallab Dasgupta} and {P. P. Chakrabarti 001}},
publisher = {IEEE Computer Society},
booktitle = {12th International Conference on VLSI Design (VLSI Design 1999), 10-13 January 1999, Goa, India}
}
@inproceedings{conf/vlsid/DekaDC99,
title = {An Efficiently Checkable Subset of TCTL for Formal Verification of Transition Systems with Delays.},
year = {1999},
booktitle = {VLSI Design},
author = {{Jatindra Kumar Deka} and {Pallab Dasgupta} and {P. P. Chakrabarti 001}},
publisher = {IEEE Computer Society},
booktitle = {12th International Conference on VLSI Design (VLSI Design 1999), 10-13 January 1999, Goa, India}
}
@article{journals/tcad/DasguptaDC00,
title = {Model checking on timed-event structures.},
year = {2000},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Pallab Dasgupta} and {Jatindra Kumar Deka} and {Partha Pratim Chakrabarti}}
}
@inproceedings{conf/date/DasguptaCNKC01,
title = {Abstraction of word-level linear arithmetic functions from bit-level component descriptions.},
year = {2001},
booktitle = {DATE},
author = {{Pallab Dasgupta} and {P. P. Chakrabarti 001} and {Amit Nandi} and {Sekar Krishna} and {Arindam Chakrabarti}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2001, Munich, Germany, March 12-16, 2001}
}
@inproceedings{conf/iscas/DekaCDC01,
title = {Abstractions for model checking of event timings.},
year = {2001},
booktitle = {ISCAS (5)},
author = {{Jatindra Kumar Deka} and {S. Chaki} and {Pallab Dasgupta} and {P. P. Chakrabarti 001}},
publisher = {IEEE},
booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001}
}
@inproceedings{conf/iscas/SriramTDC01,
title = {Symbolic verification of Boolean constraints over partially specified functions.},
year = {2001},
booktitle = {ISCAS (5)},
author = {{S. Sriram} and {R. Tandon} and {Pallab Dasgupta} and {P. P. Chakrabarti 001}},
publisher = {IEEE},
booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001}
}
@article{journals/ai/DasguptaCDS01,
title = {Min-max Computation Tree Logic.},
year = {2001},
journal = {Artif. Intell.},
author = {{Pallab Dasgupta} and {P. P. Chakrabarti 001} and {Jatindra Kumar Deka} and {Sriram Sankaranarayanan 001}}
}
@inproceedings{conf/dac/ChakrabartiDCB02,
title = {Formal verification of module interfaces against real time specifications.},
year = {2002},
booktitle = {DAC},
author = {{Arindam Chakrabarti} and {Pallab Dasgupta} and {P. P. Chakrabarti 001} and {Ansuman Banerjee}},
publisher = {ACM},
booktitle = {Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002}
}
@inproceedings{conf/vlsid/DasguptaCC02,
title = {Open Computation Tree Logic for Formal Verification of Modules.},
year = {2002},
booktitle = {ASP-DAC/VLSI Design},
author = {{Pallab Dasgupta} and {Arindam Chakrabarti} and {P. P. Chakrabarti 001}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), and the 15th International Conference on VLSI Design (VLSI Design 2002), Bangalore, India, January 7-11, 2002}
}
@article{journals/ipl/PatthakBDDC02,
title = {Quantified Computation Tree Logic.},
year = {2002},
journal = {Inf. Process. Lett.},
author = {{Anindya C. Patthak} and {Indrajit Bhattacharya} and {Anirban Dasgupta 001} and {Pallab Dasgupta} and {P. P. Chakrabarti 001}}
}
@article{journals/tkde/DasguptaCDGB02,
title = {Solving Constraint Optimization Problems from CLP-Style Specifications Using Heuristic Search Techniques.},
year = {2002},
journal = {IEEE Trans. Knowl. Data Eng.},
author = {{Pallab Dasgupta} and {P. P. Chakrabarti 001} and {Arnab Dey} and {Sujoy Ghose} and {Wolfgang Bibel}}
}
@inproceedings{conf/iscas/BanerjeeDC03,
title = {Open computation tree logic with fairness.},
year = {2003},
booktitle = {ISCAS (5)},
author = {{Ansuman Banerjee} and {Pallab Dasgupta} and {Partha Pratim Chakrabarti}},
publisher = {IEEE},
booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003}
}
@article{journals/jar/ChatterjeeDC03,
title = {A Branching Time Temporal Framework for Quantitative Reasoning.},
year = {2003},
journal = {J. Autom. Reason.},
author = {{Krishnendu Chatterjee} and {Pallab Dasgupta} and {P. P. Chakrabarti 001}}
}
@inproceedings{conf/date/BasuDDCMF04,
title = {Formal Verification Coverage: Are the RTL-Properties Covering the Design's Architectural Intent?},
year = {2004},
booktitle = {DATE},
author = {{Prasenjit Basu} and {Sayantan Das 001} and {Pallab Dasgupta} and {P. P. Chakrabarti 001} and {Chunduri Rama Mohan} and {Limor Fix}},
publisher = {IEEE Computer Society},
booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France}
}
@inproceedings{conf/iccad/DasBBDCMFA04,
title = {Formal verification coverage: computing the coverage gap between temporal specifications.},
year = {2004},
booktitle = {ICCAD},
author = {{Sayantan Das 001} and {Prasenjit Basu} and {Ansuman Banerjee} and {Pallab Dasgupta} and {P. P. Chakrabarti 001} and {Chunduri Rama Mohan} and {Limor Fix} and {Roy Armoni}},
publisher = {IEEE Computer Society / ACM},
booktitle = {2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004}
}
@inproceedings{conf/iwdc/ChatterjeeDC04,
title = {Complexity of Compositional Model Checking of Computation Tree Logic on Simple Structures.},
year = {2004},
booktitle = {IWDC},
author = {{Krishnendu Chatterjee} and {Pallab Dasgupta} and {P. P. Chakrabarti 001}},
publisher = {Springer},
booktitle = {Distributed Computing - IWDC 2004, 6th International Workshop, Kolkata, India, December 27-30, 2004, Proceedings}
}
@inproceedings{conf/memocode/PalBDC04,
title = {The BUSpec platform for automated generation of verification aids for standard bus protocols.},
year = {2004},
booktitle = {MEMOCODE},
author = {{Bhaskar Pal} and {Ansuman Banerjee} and {Pallab Dasgupta} and {P. P. Chakrabarti 001}},
publisher = {IEEE Computer Society},
booktitle = {2nd ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2004), 23-25 June 2004, San Diego, California, USA, Proceedings}
}
@inproceedings{conf/vlsid/BanerjeeDC04,
title = {Formal Verification of Modules under Real Time Environment Constraints.},
year = {2004},
booktitle = {VLSI Design},
author = {{Ansuman Banerjee} and {Pallab Dasgupta} and {P. P. Chakrabarti 001}},
publisher = {IEEE Computer Society},
booktitle = {17th International Conference on VLSI Design (VLSI Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India}
}
@inproceedings{conf/vlsid/BasuDCM04,
title = {Property Refinement Techniques for Enhancing Coverage of Formal Property Verification.},
year = {2004},
booktitle = {VLSI Design},
author = {{Prasenjit Basu} and {Pallab Dasgupta} and {P. P. Chakrabarti 001} and {Chunduri Rama Mohan}},
publisher = {IEEE Computer Society},
booktitle = {17th International Conference on VLSI Design (VLSI Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India}
}
@article{journals/ipl/ChatterjeeDC04,
title = {The power of first-order quantification over states in branching and linear time temporal logics.},
year = {2004},
journal = {Inf. Process. Lett.},
author = {{Krishnendu Chatterjee} and {Pallab Dasgupta} and {P. P. Chakrabarti 001}}
}
@inproceedings{conf/iccad/RoyDBDC05,
title = {SAT based solutions for consistency problems in formal property specifications for open systems.},
year = {2005},
booktitle = {ICCAD},
author = {{Suchismita Roy} and {Sayantan Das 001} and {Prasenjit Basu} and {Pallab Dasgupta} and {Partha Pratim Chakrabarti}},
publisher = {IEEE Computer Society},
booktitle = {2005 International Conference on Computer-Aided Design, ICCAD 2005, San Jose, CA, USA, November 6-10, 2005}
}
@inproceedings{conf/vlsid/BasuDC05,
title = {Syntactic Transformation of Assume-Guarantee Assertions: From Sub-Modules to Modules.},
year = {2005},
booktitle = {VLSI Design},
author = {{Prasenjit Basu} and {Pallab Dasgupta} and {P. P. Chakrabarti 001}},
publisher = {IEEE Computer Society},
booktitle = {18th International Conference on VLSI Design (VLSI Design 2005), with the 4th International Conference on Embedded Systems Design, 3-7 January 2005, Kolkata, India}
}
@inproceedings{conf/vlsid/DasBBDCMF05,
title = {Formal Methods for Analyzing the Completeness of an Assertion Suite against a High-Level Fault Model.},
year = {2005},
booktitle = {VLSI Design},
author = {{Sayantan Das 001} and {Ansuman Banerjee} and {Prasenjit Basu} and {Pallab Dasgupta} and {P. P. Chakrabarti 001} and {Chunduri Rama Mohan} and {Limor Fix}},
publisher = {IEEE Computer Society},
booktitle = {18th International Conference on VLSI Design (VLSI Design 2005), with the 4th International Conference on Embedded Systems Design, 3-7 January 2005, Kolkata, India}
}
@article{journals/todaes/BanerjeeD05,
title = {The open family of temporal logics: Annotating temporal operators with input constraints.},
year = {2005},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Ansuman Banerjee} and {Pallab Dasgupta}}
}
@inproceedings{conf/aspdac/BasuDDC06,
title = {Discovering the input assumptions in specification refinement coverage.},
year = {2006},
booktitle = {ASP-DAC},
author = {{Prasenjit Basu} and {Sayantan Das 001} and {Pallab Dasgupta} and {Partha Pratim Chakrabarti}},
publisher = {IEEE},
booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006}
}
@inproceedings{conf/dac/BanerjeePDKD06,
title = {Test generation games from formal specifications.},
year = {2006},
booktitle = {DAC},
author = {{Ansuman Banerjee} and {Bhaskar Pal} and {Sayantan Das} and {Abhijeet Kumar} and {Pallab Dasgupta}},
publisher = {ACM},
booktitle = {Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006}
}
@inproceedings{conf/date/DasBDC06,
title = {What lies between design intent coverage and model checking?},
year = {2006},
booktitle = {DATE},
author = {{Sayantan Das 001} and {Prasenjit Basu} and {Pallab Dasgupta} and {P. P. Chakrabarti 001}},
publisher = {European Design and Automation Association, Leuven, Belgium},
booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006}
}
@inproceedings{conf/date/DasMDC06,
title = {Synthesis of system verilog assertions.},
year = {2006},
booktitle = {DATE Designers' Forum},
author = {{Sayantan Das} and {Rizi Mohanty} and {Pallab Dasgupta} and {P. P. Chakrabarti 001}},
publisher = {European Design and Automation Association, Leuven, Belgium},
booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, DATE 2006, Munich, Germany, March 6-10, 2006}
}
@inproceedings{conf/memocode/BanerjeeDC06,
title = {Formal methods for checking realizability of coalitions in 3-party systems.},
year = {2006},
booktitle = {MEMOCODE},
author = {{Ansuman Banerjee} and {Pallab Dasgupta} and {P. P. Chakrabarti 001}},
publisher = {IEEE Computer Society},
booktitle = {4th ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2006), 27-29 July 2006, Embassy Suites, Napa, California, USA}
}
@inproceedings{conf/patmos/ChakrabortyCMD06,
title = {A Framework for Estimating Peak Power in Gate-Level Circuits.},
year = {2006},
booktitle = {PATMOS},
author = {{Diganchal Chakraborty} and {P. P. Chakrabarti 001} and {Arijit Mondal} and {Pallab Dasgupta}},
publisher = {Springer},
booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 16th International Workshop, PATMOS 2006, Montpellier, France, September 13-15, 2006, Proceedings}
}
@inproceedings{conf/vlsid/DasCD06,
title = {Instruction-Set-Extension Exploration Using Decomposable Heuristic Search.},
year = {2006},
booktitle = {VLSI Design},
author = {{Samik Das} and {P. P. Chakrabarti 001} and {Pallab Dasgupta}},
publisher = {IEEE Computer Society},
booktitle = {19th International Conference on VLSI Design (VLSI Design 2006), 3-7 January 2006, Hyderabad, India}
}
@article{journals/tcad/BasuDBDCMFA06,
title = {Design-Intent Coverage - A New Paradigm for Formal Property Verification.},
year = {2006},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Prasenjit Basu} and {Sayantan Das 001} and {Ansuman Banerjee} and {Pallab Dasgupta} and {P. P. Chakrabarti 001} and {Chunduri Rama Mohan} and {Limor Fix} and {Roy Armoni}}
}
@inproceedings{conf/iccta/MondalCD07,
title = {Timing Analysis of Sequential Circuits Using Symbolic Event Propagation.},
year = {2007},
booktitle = {ICCTA},
author = {{Arijit Mondal} and {P. P. Chakrabarti 001} and {Pallab Dasgupta}},
publisher = {IEEE Computer Society},
booktitle = {2007 International Conference on Computing: Theory and Applications (ICCTA 2007), 5-7 March 2007, Kolkata, India}
}
@inproceedings{conf/vlsid/RayDC07,
title = {A New Pseudo-Boolean Satisfiability based approach to Power Mode Schedulability Analysis.},
year = {2007},
booktitle = {VLSI Design},
author = {{Sayak Ray} and {Pallab Dasgupta} and {P. P. Chakrabarti 001}},
publisher = {IEEE Computer Society},
booktitle = {20th International Conference on VLSI Design (VLSI Design 2007), Sixth International Conference on Embedded Systems (ICES 2007), 6-10 January 2007, Bangalore, India}
}
@inproceedings{conf/vlsid/RoyCD07,
title = {Bounded Delay Timing Analysis Using Boolean Satisfiability.},
year = {2007},
booktitle = {VLSI Design},
author = {{Suchismita Roy} and {P. P. Chakrabarti 001} and {Pallab Dasgupta}},
publisher = {IEEE Computer Society},
booktitle = {20th International Conference on VLSI Design (VLSI Design 2007), Sixth International Conference on Embedded Systems (ICES 2007), 6-10 January 2007, Bangalore, India}
}
@article{journals/iet-cds/MondalCD07,
title = {Statistical static timing analysis using symbolic event propagation.},
year = {2007},
journal = {IET Circuits Devices Syst.},
author = {{Arijit Mondal} and {Partha Pratim Chakrabarti} and {Pallab Dasgupta}}
}
@article{journals/iet-cdt/PalSDCD07,
title = {Hardware accelerated constrained random test generation.},
year = {2007},
journal = {IET Comput. Digit. Tech.},
author = {{Bhaskar Pal} and {Arnab Sinha} and {Pallab Dasgupta} and {P. P. Chakrabarti 001} and {Kaushik De}}
}
@article{journals/integration/PalBDC07,
title = {BUSpec: A framework for generation of verification aids for standard bus protocol specifications.},
year = {2007},
journal = {Integr.},
author = {{Bhaskar Pal} and {Ansuman Banerjee} and {Pallab Dasgupta} and {P. P. Chakrabarti 001}}
}
@article{journals/todaes/RoyCD07,
title = {Event propagation for accurate circuit delay calculation using SAT.},
year = {2007},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Suchismita Roy} and {P. P. Chakrabarti 001} and {Pallab Dasgupta}}
}
@inproceedings{conf/atva/BanerjeeDD08,
title = {CheckSpec: A Tool for Consistency and Coverage Analysis of Assertion Specifications.},
year = {2008},
booktitle = {ATVA},
author = {{Ansuman Banerjee} and {Kausik Datta} and {Pallab Dasgupta}},
publisher = {Springer},
booktitle = {Automated Technology for Verification and Analysis, 6th International Symposium, ATVA 2008, Seoul, Korea, October 20-23, 2008. Proceedings}
}
@inproceedings{conf/isvlsi/HazraBMDCM08,
title = {Cohesive Coverage Management for Simulation and Formal Property Verification.},
year = {2008},
booktitle = {ISVLSI},
author = {{Aritra Hazra} and {Ansuman Banerjee} and {Srobona Mitra} and {Pallab Dasgupta} and {Partha Pratim Chakrabarti} and {Chunduri Rama Mohan}},
publisher = {IEEE Computer Society},
booktitle = {IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2008, 7-9 April 2008, Montpellier, France}
}
@article{journals/tcad/PalBSD08,
title = {Accelerating Assertion Coverage With Adaptive Testbenches.},
year = {2008},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Bhaskar Pal} and {Ansuman Banerjee} and {Arnab Sinha} and {Pallab Dasgupta}}
}
@article{journals/todaes/BanerjeeDC08,
title = {Auxiliary state machines + context-triggered properties in verification.},
year = {2008},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Ansuman Banerjee} and {Pallab Dasgupta} and {P. P. Chakrabarti 001}}
}
@article{journals/tvlsi/RoyCD08,
title = {Satisfiability Models for Maximum Transition Power.},
year = {2008},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Suchismita Roy} and {P. P. Chakrabarti 001} and {Pallab Dasgupta}}
}
@inproceedings{conf/date/MukherjeeAPMD09,
title = {A formal approach for specification-driven AMS behavioral model generation.},
year = {2009},
booktitle = {DATE},
author = {{Subhankar Mukherjee 001} and {Antara Ain} and {Subrat Kumar Panda} and {Rajdeep Mukhopadhyay} and {Pallab Dasgupta}},
publisher = {IEEE},
booktitle = {Design, Automation and Test in Europe, DATE 2009, Nice, France, April 20-24, 2009}
}
@inproceedings{conf/iciss/BeraGD09,
title = {Formal Verification of Security Policy Implementations in Enterprise Networks.},
year = {2009},
booktitle = {ICISS},
author = {{Padmalochan Bera} and {Soumya Kanti Ghosh 001} and {Pallab Dasgupta}},
publisher = {Springer},
booktitle = {Information Systems Security, 5th International Conference, ICISS 2009, Kolkata, India, December 14-18, 2009, Proceedings}
}
@inproceedings{conf/netcom/BeraGD09,
title = {Fault Analysis of Security Policy Implementations in Enterprise Networks.},
year = {2009},
booktitle = {NetCoM},
author = {{Padmalochan Bera} and {Soumya Kanti Ghosh 001} and {Pallab Dasgupta}},
publisher = {IEEE Computer Society},
booktitle = {First International Conference on Networks and Communications, NetCoM 2009, Chennai, India, December 27-29, 2009}
}
@inproceedings{conf/vlsid/HazraGDC09,
title = {Inline Assertions - Embedding Formal Properties in a Test Bench.},
year = {2009},
booktitle = {VLSI Design},
author = {{Aritra Hazra} and {Priyankar Ghosh} and {Pallab Dasgupta} and {Partha Pratim Chakrabarti}},
publisher = {IEEE Computer Society},
booktitle = {VLSI Design 2009: Improving Productivity through Higher Abstraction, The 22nd International Conference on VLSI Design, New Delhi, India, 5-9 January 2009}
}
@article{journals/todaes/MukhopadhyayPDG09,
title = {Instrumenting AMS assertion verification on commercial platforms.},
year = {2009},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Rajdeep Mukhopadhyay} and {Subrat Kumar Panda} and {Pallab Dasgupta} and {John Gough}}
}
@article{journals/todaes/SinhaDPDBC09,
title = {Design intent coverage revisited.},
year = {2009},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Arnab Sinha} and {Pallab Dasgupta} and {Bhaskar Pal} and {Sayantan Das 001} and {Prasenjit Basu} and {P. P. Chakrabarti 001}}
}
@inproceedings{conf/IEEEcit/BeraMGD10,
title = {A Query based Formal Security Analysis Framework for Enterprise LAN.},
year = {2010},
booktitle = {CIT},
author = {{Padmalochan Bera} and {Soumya Maity} and {Soumya Kanti Ghosh 001} and {Pallab Dasgupta}},
publisher = {IEEE Computer Society},
booktitle = {10th IEEE International Conference on Computer and Information Technology, CIT 2010, Bradford, West Yorkshire, UK, June 29-July 1, 2010}
}
@inproceedings{conf/cnsa/BeraMGD10,
title = {A SAT Based Verification Framework for Wireless LAN Security Policy Management Supported by STRBAC Model.},
year = {2010},
booktitle = {CNSA},
author = {{Padmalochan Bera} and {Soumya Maity} and {Soumya Kanti Ghosh 001} and {Pallab Dasgupta}},
publisher = {Springer},
booktitle = {Recent Trends in Network Security and Applications - Third International Conference, CNSA 2010, Chennai, India, July 23-25, 2010. Proceedings}
}
@inproceedings{conf/cnsa/RajkumarGD10,
title = {Concurrent Usage Control Implementation Verification Using the SPIN Model Checker.},
year = {2010},
booktitle = {CNSA},
author = {{P. V. Rajkumar} and {Soumya Kanti Ghosh 001} and {Pallab Dasgupta}},
publisher = {Springer},
booktitle = {Recent Trends in Network Security and Applications - Third International Conference, CNSA 2010, Chennai, India, July 23-25, 2010. Proceedings}
}
@inproceedings{conf/dac/HazraMDPBG10,
title = {Leveraging UPF-extracted assertions for modeling and formal verification of architectural power intent.},
year = {2010},
booktitle = {DAC},
author = {{Aritra Hazra} and {Srobona Mitra} and {Pallab Dasgupta} and {Ajit Pal} and {Debabrata Bagchi} and {Kaustav Guha}},
publisher = {ACM},
booktitle = {Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010}
}
@inproceedings{conf/date/DixitDR10,
title = {Taming the component timing: A CBD methodology for real-time embedded systems.},
year = {2010},
booktitle = {DATE},
author = {{Manoj G. Dixit} and {Pallab Dasgupta} and {S. Ramesh 002}},
publisher = {IEEE Computer Society},
booktitle = {Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010}
}
@inproceedings{conf/icistm/BeraGD10,
title = {A Spatio-Temporal Role-Based Access Control Model for Wireless LAN Security Policy Management.},
year = {2010},
booktitle = {ICISTM},
author = {{Padmalochan Bera} and {Soumya Kanti Ghosh 001} and {Pallab Dasgupta}},
publisher = {Springer},
booktitle = {Information Systems, Technology and Management - 4th International Conference, ICISTM 2010, Bangkok, Thailand, March 11-13, 2010. Proceedings}
}
@inproceedings{conf/vlsid/HazraGDC10,
title = {Coverage Management with Inline Assertions and Formal Test Points.},
year = {2010},
booktitle = {VLSI Design},
author = {{Aritra Hazra} and {Priyankar Ghosh} and {Pallab Dasgupta} and {Partha Pratim Chakrabarti}},
publisher = {IEEE Computer Society},
booktitle = {VLSI Design 2010: 23rd International Conference on VLSI Design, 9th International Conference on Embedded Systems, Bangalore, India, 3-7 January 2010}
}
@inproceedings{conf/vlsid/MondalCD10,
title = {Accelerating Synchronous Sequential Circuits Using an Adaptive Clock.},
year = {2010},
booktitle = {VLSI Design},
author = {{Arijit Mondal} and {Partha Pratim Chakrabarti} and {Pallab Dasgupta}},
publisher = {IEEE Computer Society},
booktitle = {VLSI Design 2010: 23rd International Conference on VLSI Design, 9th International Conference on Embedded Systems, Bangalore, India, 3-7 January 2010}
}
@article{journals/corr/abs-1011-0950,
title = {Detecting Ontological Conflicts in Protocols between Semantic Web Services},
year = {2010},
journal = {CoRR},
author = {{Priyankar Ghosh} and {Pallab Dasgupta}}
}
@article{journals/iet-ifs/BeraGD10,
title = {Integrated security analysis framework for an enterprise network - a formal approach.},
year = {2010},
journal = {IET Inf. Secur.},
author = {{Padmalochan Bera} and {Santosh K. Ghosh} and {Pallab Dasgupta}}
}
@article{journals/integration/MukhopadhyayKDPM10,
title = {A static verification approach for architectural integration of mixed-signal integrated circuits.},
year = {2010},
journal = {Integr.},
author = {{Rajdeep Mukhopadhyay} and {Anvesh Komuravelli} and {Pallab Dasgupta} and {Subrat Kumar Panda} and {Siddhartha Mukhopadhyay}}
}
@article{journals/mj/RoyCD10,
title = {Bounded delay timing analysis and power estimation using SAT.},
year = {2010},
journal = {Microelectron. J.},
author = {{Suchismita Roy} and {P. P. Chakrabarti 001} and {Pallab Dasgupta}}
}
@article{journals/tnsm/BeraGD10,
title = {Policy Based Security Analysis in Enterprise Networks: A Formal Approach.},
year = {2010},
journal = {IEEE Trans. Netw. Serv. Manag.},
author = {{Padmalochan Bera} and {Soumya Kanti Ghosh 001} and {Pallab Dasgupta}}
}
@inproceedings{conf/ats/KomuravelliMBD11,
title = {Backward Reasoning with Formal Properties: A Methodology for Bug Isolation on Simulation Traces.},
year = {2011},
booktitle = {Asian Test Symposium},
author = {{Anvesh Komuravelli} and {Srobona Mitra} and {Ansuman Banerjee} and {Pallab Dasgupta}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 20th IEEE Asian Test Symposium, ATS 2011, New Delhi, India, November 20-23, 2011}
}
@inproceedings{conf/ised/GhoshHBDMP11,
title = {POWER-SIM: An SOC Simulator for Estimating Power Profiles of Mobile Workloads.},
year = {2011},
booktitle = {ISED},
author = {{Priyankar Ghosh} and {Aritra Hazra} and {Niraj Bhilegaonkar} and {Pallab Dasgupta} and {Chittaranjan A. Mandal} and {Krishna Paul}},
publisher = {IEEE Computer Society},
booktitle = {International Symposium on Electronic System Design, ISED 2011, Kochi, Kerala, India, December 19-21, 2011}
}
@inproceedings{conf/vlsid/MukherjeeD11,
title = {Auxiliary State Machines and Auxiliary Functions: Constructs for Extending AMS Assertions.},
year = {2011},
booktitle = {VLSI Design},
author = {{Subhankar Mukherjee 001} and {Pallab Dasgupta}},
publisher = {IEEE Computer Society},
booktitle = {VLSI Design 2011: 24th International Conference on VLSI Design, IIT Madras, Chennai, India, 2-7 January 2011}
}
@article{journals/ipl/DixitRD11,
title = {Some results on Parametric Temporal Logic.},
year = {2011},
journal = {Inf. Process. Lett.},
author = {{Manoj G. Dixit} and {S. Ramesh 002} and {Pallab Dasgupta}}
}
@article{journals/scn/BeraGD11,
title = {A WLAN security management framework based on formal spatio-temporal RBAC model.},
year = {2011},
journal = {Secur. Commun. Networks},
author = {{Padmalochan Bera} and {Soumya Kanti Ghosh 001} and {Pallab Dasgupta}}
}
@article{journals/tcad/MukherjeeDM11,
title = {Auxiliary Specifications for Context-Sensitive Monitoring of AMS Assertions.},
year = {2011},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Subhankar Mukherjee 001} and {Pallab Dasgupta} and {Siddhartha Mukhopadhyay}}
}
@article{journals/todaes/AinPDMMG11,
title = {Chassis: A Platform for Verifying PMU Integration Using Autogenerated Behavioral Models.},
year = {2011},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Antara Ain} and {Debjit Pal} and {Pallab Dasgupta} and {Siddhartha Mukhopadhyay} and {Rajdeep Mukhopadhyay} and {John Gough}}
}
@inproceedings{conf/acity/MukherjeeGDP12,
title = {Operator Scheduling Revisited: A Multi-objective Perspective for Fine-Grained DVS Architecture.},
year = {2012},
booktitle = {ACITY (3)},
author = {{Rajdeep Mukherjee} and {Priyankar Ghosh} and {Pallab Dasgupta} and {Ajit Pal}},
publisher = {Springer},
booktitle = {Advances in Computing and Information Technology - Proceedings of the Second International Conference on Advances in Computing and Information Technology (ACITY) July 13-15, 2012, Chennai, India - Volume 3}
}
@inproceedings{conf/aspdac/HazraDBH12,
title = {Formal methods for coverage analysis of architectural power states in power-managed designs.},
year = {2012},
booktitle = {ASP-DAC},
author = {{Aritra Hazra} and {Pallab Dasgupta} and {Ansuman Banerjee} and {Kevin Harer}},
publisher = {IEEE},
booktitle = {Proceedings of the 17th Asia and South Pacific Design Automation Conference, ASP-DAC 2012, Sydney, Australia, January 30 - February 2, 2012}
}
@inproceedings{conf/ats/DasBD12,
title = {A Generalized Theory for Formal Assertion Coverage.},
year = {2012},
booktitle = {Asian Test Symposium},
author = {{Sourasis Das} and {Ansuman Banerjee} and {Pallab Dasgupta}},
publisher = {IEEE Computer Society},
booktitle = {21st IEEE Asian Test Symposium, ATS 2012, Niigata, Japan, November 19-22, 2012}
}
@inproceedings{conf/ausai/GhoshCD12,
title = {Anytime Algorithms for Biobjective Heuristic Search.},
year = {2012},
booktitle = {Australasian Conference on Artificial Intelligence},
author = {{Priyankar Ghosh} and {Partha Pratim Chakrabarti} and {Pallab Dasgupta}},
publisher = {Springer},
booktitle = {AI 2012: Advances in Artificial Intelligence - 25th Australasian Joint Conference, Sydney, Australia, December 4-7, 2012. Proceedings}
}
@inproceedings{conf/ausai/GhoshDR12,
title = {Planning with Action Prioritization and New Benchmarks for Classical Planning.},
year = {2012},
booktitle = {Australasian Conference on Artificial Intelligence},
author = {{Kamalesh Ghosh} and {Pallab Dasgupta} and {S. Ramesh 002}},
publisher = {Springer},
booktitle = {AI 2012: Advances in Artificial Intelligence - 25th Australasian Joint Conference, Sydney, Australia, December 4-7, 2012. Proceedings}
}
@inproceedings{conf/date/MitraBD12,
title = {Formal methods for ranking counterexamples through assumption mining.},
year = {2012},
booktitle = {DATE},
author = {{Srobona Mitra} and {Ansuman Banerjee} and {Pallab Dasgupta}},
publisher = {IEEE},
booktitle = {2012 Design, Automation & Test in Europe Conference & Exhibition, DATE 2012, Dresden, Germany, March 12-16, 2012}
}
@inproceedings{conf/fdl/HazraGD12,
title = {Reliability annotations to formal specifications of context-sensitive safety properties in embedded systems.},
year = {2012},
booktitle = {FDL},
author = {{Aritra Hazra} and {Priyankar Ghosh} and {Pallab Dasgupta}},
publisher = {IEEE},
booktitle = {Proceeding of the 2012 Forum on Specification and Design Languages, Vienna, Austria, September 18-20, 2012}
}
@inproceedings{conf/ised/MukherjeeGKDP12,
title = {Multi-objective Low-Power CDFG Scheduling Using Fine-Grained DVS Architecture in Distributed Framework.},
year = {2012},
booktitle = {ISED},
author = {{Rajdeep Mukherjee} and {Priyankar Ghosh} and {N. Sravan Kumar} and {Pallab Dasgupta} and {Ajit Pal}},
publisher = {IEEE},
booktitle = {International Symposium on Electronic System Design, ISEDs 2012, Kolkata, India, December 19-22, 2012}
}
@inproceedings{conf/socs/GhoshCD12,
title = {Execution Ordering in AND/OR Graphs with Failure Probabilities.},
year = {2012},
booktitle = {SOCS},
author = {{Priyankar Ghosh} and {P. P. Chakrabarti 001} and {Pallab Dasgupta}},
publisher = {AAAI Press},
booktitle = {Proceedings of the Fifth Annual Symposium on Combinatorial Search, SOCS 2012, Niagara Falls, Ontario, Canada, July 19-21, 2012}
}
@inproceedings{conf/vdat/DobriyalGDM12,
title = {Workload Driven Power Domain Partitioning.},
year = {2012},
booktitle = {VDAT},
author = {{Arun Dobriyal} and {Rahul Gonnabattula} and {Pallab Dasgupta} and {Chittaranjan A. Mandal}},
publisher = {Springer},
booktitle = {Progress in VLSI Design and Test - 16th International Symposium, VDAT 2012, Shibpur, India, July 1-4, 2012. Proceedings}
}
@inproceedings{conf/vlsid/PalDM12,
title = {A Library for Passive Online Verification of Analog and Mixed-Signal Circuits.},
year = {2012},
booktitle = {VLSI Design},
author = {{Debjit Pal} and {Pallab Dasgupta} and {Siddhartha Mukhopadhyay}},
publisher = {IEEE Computer Society},
booktitle = {25th International Conference on VLSI Design, Hyderabad, India, January 7-11, 2012}
}
@article{journals/et/HazraGDC12,
title = {Cohesive Coverage Management: Simulation Meets Formal Methods.},
year = {2012},
journal = {J. Electron. Test.},
author = {{Aritra Hazra} and {Priyankar Ghosh} and {Pallab Dasgupta} and {Partha Pratim Chakrabarti}}
}
@article{journals/iet-cdt/MitraGD12,
title = {Verification by parts: reusing component invariant checking results.},
year = {2012},
journal = {IET Comput. Digit. Tech.},
author = {{Srobona Mitra} and {Priyankar Ghosh} and {Pallab Dasgupta}}
}
@article{journals/integration/RoyCD12,
title = {SAT based timing analysis for fixed and rise/fall gate delay models.},
year = {2012},
journal = {Integr.},
author = {{Suchismita Roy} and {P. P. Chakrabarti 001} and {Pallab Dasgupta}}
}
@article{journals/jair/GhoshSCD12,
title = {Algorithms for Generating Ordered Solutions for Explicit AND/OR Structures.},
year = {2012},
journal = {J. Artif. Intell. Res.},
author = {{Priyankar Ghosh} and {Amit Sharma} and {P. P. Chakrabarti 001} and {Pallab Dasgupta}}
}
@article{journals/jolpe/GhoshHGBDMP12,
title = {POWER-SIM: An SOC Simulator for Estimating Power Profiles of Mobile Workloads.},
year = {2012},
journal = {J. Low Power Electron.},
author = {{Priyankar Ghosh} and {Aritra Hazra} and {Rahul Gonnabhaktula} and {Niraj Bhilegaonkar} and {Pallab Dasgupta} and {Chittaranjan A. Mandal} and {Krishna Paul}}
}
@article{journals/sigsoft/BanerjeeRDCRG12,
title = {A dynamic assertion-based verification platform for validation of UML designs.},
year = {2012},
journal = {ACM SIGSOFT Softw. Eng. Notes},
author = {{Ansuman Banerjee} and {Sayak Ray} and {Pallab Dasgupta} and {P. P. Chakrabarti 001} and {S. Ramesh 002} and {P. Vignesh V. Ganesan}}
}
@article{journals/tcad/DasBD12,
title = {Early Analysis of Critical Faults: An Approach to Test Generation From Formal Specifications.},
year = {2012},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Sourasis Das} and {Ansuman Banerjee} and {Pallab Dasgupta}}
}
@article{journals/tcad/MukherjeeD12,
title = {Assertion Aware Sampling Refinement: A Mixed-Signal Perspective.},
year = {2012},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Subhankar Mukherjee 001} and {Pallab Dasgupta}}
}
@article{journals/tcad/MukherjeeD12a,
title = {Computing Minimal Debugging Windows in Failure Traces of AMS Assertions.},
year = {2012},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Subhankar Mukherjee 001} and {Pallab Dasgupta}}
}
@article{journals/todaes/MondalCD12,
title = {Symbolic-Event-Propagation-Based Minimal Test Set Generation for Robust Path Delay Faults.},
year = {2012},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Arijit Mondal} and {P. P. Chakrabarti 001} and {Pallab Dasgupta}}
}
@article{journals/todaes/MukherjeeDMLHC12,
title = {Synchronizing AMS Assertions with AMS Simulation: From Theory to Practice.},
year = {2012},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Subhankar Mukherjee 001} and {Pallab Dasgupta} and {Siddhartha Mukhopadhyay} and {Scott Little} and {John Havlicek} and {Srikanth Chandrasekaran}}
}
@inproceedings{conf/cacsd/PrabhuHDC13,
title = {Handling fault detection latencies in automata-based scheduling for embedded control software.},
year = {2013},
booktitle = {CACSD},
author = {{M. Santhosh Prabhu} and {Aritra Hazra} and {Pallab Dasgupta} and {Partha Pratim Chakrabarti}},
publisher = {IEEE},
booktitle = {2013 IEEE International Symposium on Computer-Aided Control System Design, CACSD 2013, Hyderabad, India, August 28-30, 2013}
}
@inproceedings{conf/ijcai/GhoshSCD13,
title = {Algorithms for Generating Ordered Solutions for Explicit AND/OR Structures : Extended Abstract.},
year = {2013},
booktitle = {IJCAI},
author = {{Priyankar Ghosh} and {Amit Sharma 007} and {Partha Pratim Chakrabarti} and {Pallab Dasgupta}},
publisher = {IJCAI/AAAI},
booktitle = {IJCAI 2013, Proceedings of the 23rd International Joint Conference on Artificial Intelligence, Beijing, China, August 3-9, 2013}
}
@inproceedings{conf/indiaSE/BanerjeePD13,
title = {Debugging assertion failures in software controllers using a reference model.},
year = {2013},
booktitle = {ISEC},
author = {{Kajori Banerjee} and {M. Santhosh Prabhu} and {Pallab Dasgupta}},
publisher = {ACM},
booktitle = {6th India Software Engineering Conference, ISEC '13, New Delhi, India - February 21 - 23, 2013}
}
@inproceedings{conf/indiaSE/MukherjeeMD13,
title = {Model checking of global power management strategies in software with temporal logic properties.},
year = {2013},
booktitle = {ISEC},
author = {{Rajdeep Mukherjee} and {Subhankar Mukherjee 001} and {Pallab Dasgupta}},
publisher = {ACM},
booktitle = {6th India Software Engineering Conference, ISEC '13, New Delhi, India - February 21 - 23, 2013}
}
@inproceedings{conf/premi/GhoshCD13,
title = {Ordered Solution Generation for Implicit AND/OR Search Spaces.},
year = {2013},
booktitle = {PReMI},
author = {{Priyankar Ghosh} and {Partha Pratim Chakrabarti} and {Pallab Dasgupta}},
publisher = {Springer},
booktitle = {Pattern Recognition and Machine Intelligence - 5th International Conference, PReMI 2013, Kolkata, India, December 10-14, 2013. Proceedings}
}
@inproceedings{conf/vlsid/MukherjeeDPM13,
title = {Formal Verification of Hardware / Software Power Management Strategies.},
year = {2013},
booktitle = {VLSI Design},
author = {{Rajdeep Mukherjee} and {Pallab Dasgupta} and {Ajit Pal} and {Subhankar Mukherjee 001}},
publisher = {IEEE Computer Society},
booktitle = {26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, Pune, India, January 5-10, 2013}
}
@inproceedings{conf/vlsid/PrabhuD13,
title = {Model Checking Controllers with Predicate Inputs.},
year = {2013},
booktitle = {VLSI Design},
author = {{M. Santhosh Prabhu} and {Pallab Dasgupta}},
publisher = {IEEE Computer Society},
booktitle = {26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, Pune, India, January 5-10, 2013}
}
@article{journals/corr/abs-1303-1645,
title = {A Multi-objective Perspective for Operator Scheduling using Fine-grained DVS Architecture},
year = {2013},
journal = {CoRR},
author = {{Rajdeep Mukherjee} and {Priyankar Ghosh} and {Pallab Dasgupta} and {Ajit Pal}}
}
@article{journals/esl/HazraGVCD13,
title = {Formal Methods for Early Analysis of Functional Reliability in Component-Based Embedded Applications.},
year = {2013},
journal = {IEEE Embed. Syst. Lett.},
author = {{Aritra Hazra} and {Priyankar Ghosh} and {Satya Gautam Vadlamudi} and {P. P. Chakrabarti 001} and {Pallab Dasgupta}}
}
@article{journals/esl/PrabhuHD13,
title = {Reliability Guarantees in Automata-Based Scheduling for Embedded Control Software.},
year = {2013},
journal = {IEEE Embed. Syst. Lett.},
author = {{M. Santhosh Prabhu} and {Aritra Hazra} and {Pallab Dasgupta}}
}
@article{journals/ijar/MukherjeeD13,
title = {A fuzzy real-time temporal logic.},
year = {2013},
journal = {Int. J. Approx. Reason.},
author = {{Subhankar Mukherjee 001} and {Pallab Dasgupta}}
}
@article{journals/integration/AinMDM13,
title = {Post-silicon debugging of PMU integration errors using behavioral models.},
year = {2013},
journal = {Integr.},
author = {{Antara Ain} and {Subhankar Mukherjee 001} and {Pallab Dasgupta} and {Siddhartha Mukhopadhyay}}
}
@article{journals/jolpe/MukherjeeGDP13,
title = {An Integrated Approach for Fine-Grained Power and Peak Temperature Management During High-Level Synthesis.},
year = {2013},
journal = {J. Low Power Electron.},
author = {{Rajdeep Mukherjee} and {Priyankar Ghosh} and {Pallab Dasgupta} and {Ajit Pal}}
}
@article{journals/tcad/HazraMDPHBM13,
title = {POWER-TRUCTOR: An Integrated Tool Flow for Formal Verification and Coverage of Architectural Power Intent.},
year = {2013},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Aritra Hazra} and {Rajdeep Mukherjee} and {Pallab Dasgupta} and {Ajit Pal} and {Kevin Harer} and {Ansuman Banerjee} and {Subhankar Mukherjee 001}}
}
@article{journals/tcad/MitraBDGK13,
title = {Formal Guarantees for Localized Bug Fixes.},
year = {2013},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Srobona Mitra} and {Ansuman Banerjee} and {Pallab Dasgupta} and {Priyankar Ghosh} and {Harish Kumar}}
}
@article{journals/tcad/MitraBDK13,
title = {Counterexample Ranking Using Mined Invariants.},
year = {2013},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Srobona Mitra} and {Ansuman Banerjee} and {Pallab Dasgupta} and {Harish Kumar}}
}
@article{journals/tvlsi/HazraGDP13,
title = {Formal Verification of Architectural Power Intent.},
year = {2013},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Aritra Hazra} and {Sahil Goyal} and {Pallab Dasgupta} and {Ajit Pal}}
}
@incollection{books/daglib/p/DixitRD14,
title = {Early Time-Budgeting for Component-Based Embedded Control Systems.},
year = {2014},
booktitle = {Embedded Systems Development, From Functional Models to Implementations},
author = {{Manoj G. Dixit} and {S. Ramesh 002} and {Pallab Dasgupta}},
publisher = {Springer},
booktitle = {Embedded Systems Development, From Functional Models to Implementations}
}
@inproceedings{conf/date/BanerjeeD14,
title = {Acceptance and random generation of event sequences under real time calculus constraints.},
year = {2014},
booktitle = {DATE},
author = {{Kajori Banerjee} and {Pallab Dasgupta}},
publisher = {European Design and Automation Association},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014}
}
@inproceedings{conf/iccsce/RahaHMDCD14,
title = {Synthesis of sampling modes for adaptive control.},
year = {2014},
booktitle = {ICCSCE},
author = {{Rajorshee Raha} and {Aritra Hazra} and {Akash Mondal} and {Soumyajit Dey} and {Partha Pratim Chakrabarti} and {Pallab Dasgupta}},
publisher = {IEEE},
booktitle = {2014 IEEE International Conference on Control System, Computing and Engineering, ICCSCE 2014, Penang, Malaysia, November 28-30, 2014}
}
@article{journals/fac/DixitRD14,
title = {Time-budgeting: a component based development methodology for real-time embedded systems.},
year = {2014},
journal = {Formal Aspects Comput.},
author = {{Manoj G. Dixit} and {S. Ramesh 002} and {Pallab Dasgupta}}
}
@article{journals/tcad/DasguptaSM14,
title = {Formal Hardware/Software Co-Verification of Embedded Power Controllers.},
year = {2014},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Pallab Dasgupta} and {Mandayam K. Srivas} and {Rajdeep Mukherjee}}
}
@inproceedings{conf/apsec/0001MACCDDM15,
title = {Timing Analysis of Safety-Critical Automotive Software: The AUTOSAFE Tool Flow.},
year = {2015},
booktitle = {APSEC},
author = {{Martin Becker 001} and {Sajid Mohamed} and {Karsten Albers} and {P. P. Chakrabarti 001} and {Samarjit Chakraborty} and {Pallab Dasgupta} and {Soumyajit Dey} and {Ravindra Metta}},
publisher = {IEEE Computer Society},
booktitle = {2015 Asia-Pacific Software Engineering Conference, APSEC 2015, New Delhi, India, December 1-4, 2015}
}
@inproceedings{conf/ats/DuttaCBD15,
title = {A New Approach for Minimal Environment Construction for Modular Property Verification.},
year = {2015},
booktitle = {ATS},
author = {{Saikat Dutta 001} and {Soumi Chattopadhyay} and {Ansuman Banerjee} and {Pallab Dasgupta}},
publisher = {IEEE Computer Society},
booktitle = {24th IEEE Asian Test Symposium, ATS 2015, Mumbai, India, November 22-25, 2015}
}
@inproceedings{conf/icacci/PradeepDRD15,
title = {Raga identification based on Normalized Note Histogram features.},
year = {2015},
booktitle = {ICACCI},
author = {{R. Pradeep} and {Prasenjit Dhara} and {K. Sreenivasa Rao} and {Pallab Dasgupta}},
publisher = {IEEE},
booktitle = {2015 International Conference on Advances in Computing, Communications and Informatics, ICACCI 2015, Kochi, India, August 10-13, 2015}
}
@inproceedings{conf/vlsid/AinD15,
title = {Monitoring AMS Simulation: From Assertions to Features.},
year = {2015},
booktitle = {VLSID},
author = {{Antara Ain} and {Pallab Dasgupta}},
publisher = {IEEE Computer Society},
booktitle = {28th International Conference on VLSI Design, VLSID 2015, Bangalore, India, January 3-7, 2015}
}
@inproceedings{conf/vlsid/GhoshD15,
title = {Formal Methods for Pattern Based Reliability Analysis in Embedded Systems.},
year = {2015},
booktitle = {VLSID},
author = {{Sumana Ghosh} and {Pallab Dasgupta}},
publisher = {IEEE Computer Society},
booktitle = {28th International Conference on VLSI Design, VLSID 2015, Bangalore, India, January 3-7, 2015}
}
@article{journals/corr/RahaDCD15,
title = {Multi-mode Sampling Period Selection for Embedded Real Time Control.},
year = {2015},
journal = {CoRR},
author = {{Rajorshee Raha} and {Soumyajit Dey} and {Partha Pratim Chakrabarti} and {Pallab Dasgupta}}
}
@article{journals/dt/CostaD15,
title = {Formal Interpretation of Assertion-Based Features on AMS Designs.},
year = {2015},
journal = {IEEE Des. Test},
author = {{Antonio Anastasio Bruto da Costa} and {Pallab Dasgupta}}
}
@article{journals/jar/GhoshDR15,
title = {Automated Planning as an Early Verification Tool for Distributed Control.},
year = {2015},
journal = {J. Autom. Reason.},
author = {{Kamalesh Ghosh} and {Pallab Dasgupta} and {S. Ramesh 002}}
}
@inproceedings{conf/formats/ZamaniDMDM16,
title = {Scheduling of Controllers' Update-Rates for Residual Bandwidth Utilization.},
year = {2016},
booktitle = {FORMATS},
author = {{Majid Zamani 001} and {Soumyajit Dey} and {Sajid Mohamed} and {Pallab Dasgupta} and {Manuel Mazo Jr.}},
publisher = {Springer},
booktitle = {Formal Modeling and Analysis of Timed Systems - 14th International Conference, FORMATS 2016, Quebec, QC, Canada, August 24-26, 2016, Proceedings}
}
@inproceedings{conf/interspeech/RengaswamyMRD16,
title = {A Robust Non-Parametric and Filtering Based Approach for Glottal Closure Instant Detection.},
year = {2016},
booktitle = {INTERSPEECH},
author = {{Pradeep Rengaswamy} and {Gurunath Reddy M.} and {K. Sreenivasa Rao} and {Pallab Dasgupta}},
publisher = {ISCA},
booktitle = {Interspeech 2016, 17th Annual Conference of the International Speech Communication Association, San Francisco, CA, USA, September 8-12, 2016}
}
@inproceedings{conf/memocode/CostaDF16,
title = {Formal feature analysis of hybrid automata.},
year = {2016},
booktitle = {MEMOCODE},
author = {{Antonio Anastasio Bruto da Costa} and {Pallab Dasgupta} and {Goran Frehse}},
publisher = {IEEE},
booktitle = {2016 ACM/IEEE International Conference on Formal Methods and Models for System Design, MEMOCODE 2016, Kanpur, India, November 18-20, 2016}
}
@inproceedings{conf/vdat/JanaKDDK16,
title = {Planning based guided reconstruction of corner cases in architectural validation.},
year = {2016},
booktitle = {VDAT},
author = {{Rajib Lochan Jana} and {Shashank Kuchibhotla} and {Soumyajit Dey} and {Pallab Dasgupta} and {Rakesh Kumar}},
publisher = {IEEE},
booktitle = {20th International Symposium on VLSI Design and Test, VDAT 2016, Guwahati, India, May 24-27, 2016}
}
@article{journals/esl/RahaDDD16,
title = {Multirate Sampling for Power-Performance Tradeoff in Embedded Control.},
year = {2016},
journal = {IEEE Embed. Syst. Lett.},
author = {{Rajorshee Raha} and {Souradeep Dutta} and {Soumyajit Dey} and {Pallab Dasgupta}}
}
@article{journals/japll/HazraDC16,
title = {Formal assessment of reliability specifications in embedded cyber-physical systems.},
year = {2016},
journal = {J. Appl. Log.},
author = {{Aritra Hazra} and {Pallab Dasgupta} and {Partha Pratim Chakrabarti}}
}
@article{journals/tcad/AinCD16,
title = {Feature Indented Assertions for Analog and Mixed-Signal Validation.},
year = {2016},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Antara Ain} and {Antonio Anastasio Bruto da Costa} and {Pallab Dasgupta}}
}
@inproceedings{conf/atva/CostaD17,
title = {ForFET: A Formal Feature Evaluation Tool for Hybrid Systems.},
year = {2017},
booktitle = {ATVA},
author = {{Antonio Anastasio Bruto da Costa} and {Pallab Dasgupta}},
publisher = {Springer},
booktitle = {Automated Technology for Verification and Analysis - 15th International Symposium, ATVA 2017, Pune, India, October 3-6, 2017, Proceedings}
}
@inproceedings{conf/ches/SahaKMD17,
title = {An Automated Framework for Exploitable Fault Identification in Block Ciphers - A Data Mining Approach.},
year = {2017},
booktitle = {PROOFS},
author = {{Sayandeep Saha} and {Ujjawal Kumar} and {Debdeep Mukhopadhyay} and {Pallab Dasgupta}},
publisher = {EasyChair},
booktitle = {PROOFS 2017, 6th International Workshop on Security Proofs for Embedded Systems, Taipei, Taiwan, September 29th, 2017}
}
@inproceedings{conf/vdat/AinSD17,
title = {A Framework for Automated Feature Based Mixed-Signal Equivalence Checking.},
year = {2017},
booktitle = {VDAT},
author = {{Antara Ain} and {Sayandeep Sanyal} and {Pallab Dasgupta}},
publisher = {Springer},
booktitle = {VLSI Design and Test - 21st International Symposium, VDAT 2017, Roorkee, India, June 29 - July 2, 2017, Revised Selected Papers}
}
@inproceedings{conf/vlsid/AinMDM17,
title = {Feature Based Identification of Transmission Line Faults by Synchronous Monitoring of PMUs.},
year = {2017},
booktitle = {VLSID},
author = {{Antara Ain} and {Akshay Mambakam} and {Pallab Dasgupta} and {Siddhartha Mukhopadhyay}},
publisher = {IEEE Computer Society},
booktitle = {30th International Conference on VLSI Design and 16th International Conference on Embedded Systems, VLSID 2017, Hyderabad, India, January 7-11, 2017}
}
@inproceedings{conf/vlsid/CostaD17,
title = {Generating AMS Behavioral Models with Formal Guarantees on Feature Accuracy.},
year = {2017},
booktitle = {VLSID},
author = {{Antonio Anastasio Bruto da Costa} and {Pallab Dasgupta}},
publisher = {IEEE Computer Society},
booktitle = {30th International Conference on VLSI Design and 16th International Conference on Embedded Systems, VLSID 2017, Hyderabad, India, January 7-11, 2017}
}
@inproceedings{conf/vlsid/MandalCHDNMB17,
title = {Formal Verification of Power Management Logic with Mixed-Signal Domains.},
year = {2017},
booktitle = {VLSID},
author = {{Sudipa Mandal} and {Antonio Anastasio Bruto da Costa} and {Aritra Hazra} and {Pallab Dasgupta} and {Bhushan Naware} and {Chunduri Rama Mohan} and {Sanjib Basu}},
publisher = {IEEE Computer Society},
booktitle = {30th International Conference on VLSI Design and 16th International Conference on Embedded Systems, VLSID 2017, Hyderabad, India, January 7-11, 2017}
}
@article{journals/corr/abs-1711-00669,
title = {Formal Analysis of Hybrid Systems Using Feature Indented Assertions.},
year = {2017},
journal = {CoRR},
author = {{Antonio Anastasio Bruto da Costa} and {Pallab Dasgupta}}
}
@article{journals/iacr/SahaKMD17,
title = {Differential Fault Analysis Automation.},
year = {2017},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Sayandeep Saha} and {Ujjawal Kumar} and {Debdeep Mukhopadhyay} and {Pallab Dasgupta}}
}
@article{journals/tecs/GhoshDDD17,
title = {A Structured Methodology for Pattern based Adaptive Scheduling in Embedded Control.},
year = {2017},
journal = {ACM Trans. Embed. Comput. Syst.},
author = {{Sumana Ghosh} and {Souradeep Dutta} and {Soumyajit Dey} and {Pallab Dasgupta}}
}
@article{journals/tits/GhoshDBDK17,
title = {Formal Methods for Validation and Test Point Prioritization in Railway Signaling Logic.},
year = {2017},
journal = {IEEE Trans. Intell. Transp. Syst.},
author = {{Shiladitya Ghosh} and {Arindam Das} and {Nirvik Basak} and {Pallab Dasgupta} and {Alok Katiyar}}
}
@incollection{books/sp/18/MandalCCKD18,
title = {On the Deep Structure of Ragas and Analytic Rating of Music Scores.},
year = {2018},
booktitle = {Heritage Preservation},
author = {{Sudipa Mandal} and {Shilpi Chaudhuri} and {Antonio Anastasio Bruto da Costa} and {Gouri Karambelkar} and {Pallab Dasgupta}},
publisher = {Springer},
booktitle = {Heritage Preservation - A Computational Approach}
}
@inproceedings{conf/fdtc/SahaJBBMD18,
title = {Breaking Redundancy-Based Countermeasures with Random Faults and Power Side Channel.},
year = {2018},
booktitle = {FDTC},
author = {{Sayandeep Saha} and {Dirmanto Jap} and {Jakub Breier} and {Shivam Bhasin} and {Debdeep Mukhopadhyay} and {Pallab Dasgupta}},
publisher = {IEEE Computer Society},
booktitle = {2018 Workshop on Fault Diagnosis and Tolerance in Cryptography, FDTC 2018, Amsterdam, The Netherlands, September 13, 2018}
}
@inproceedings{conf/isvlsi/AinMD18,
title = {Feature Based Coverage Analysis of AMS Circuits.},
year = {2018},
booktitle = {ISVLSI},
author = {{Antara Ain} and {Akshay Mambakam} and {Pallab Dasgupta}},
publisher = {IEEE Computer Society},
booktitle = {2018 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2018, Hong Kong, China, July 8-11, 2018}
}
@inproceedings{conf/vlsid/CostaDMD18,
title = {AMS-Miner: Mining AMS Assertions Using Interval Arithmetic.},
year = {2018},
booktitle = {VLSID},
author = {{Antonio Anastasio Bruto da Costa} and {Shriya Dharade} and {Sudipa Mandal} and {Pallab Dasgupta}},
publisher = {IEEE Computer Society},
booktitle = {31st International Conference on VLSI Design and 17th International Conference on Embedded Systems, VLSID 2018, Pune, India, January 6-10, 2018}
}
@inproceedings{conf/vlsid/MandalHDM18,
title = {Formal Methods for Coverage Analysis of Power Management Logic with Mixed-Signal Components.},
year = {2018},
booktitle = {VLSID},
author = {{Sudipa Mandal} and {Aritra Hazra} and {Pallab Dasgupta} and {Chunduri Rama Mohan}},
publisher = {IEEE Computer Society},
booktitle = {31st International Conference on VLSI Design and 17th International Conference on Embedded Systems, VLSID 2018, Pune, India, January 6-10, 2018}
}
@article{journals/esl/GhoshDD18,
title = {Co-Synthesis of Loop Execution Patterns for Multihop Control Networks.},
year = {2018},
journal = {IEEE Embed. Syst. Lett.},
author = {{Sumana Ghosh} and {Soumyajit Dey} and {Pallab Dasgupta}}
}
@article{journals/tcad/CostaFD18,
title = {Formal Feature Interpretation of Hybrid Systems.},
year = {2018},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Antonio Anastasio Bruto da Costa} and {Goran Frehse} and {Pallab Dasgupta}}
}
@article{journals/tches/SahaMD18,
title = {ExpFault: An Automated Framework for Exploitable Fault Characterization in Block Ciphers.},
year = {2018},
journal = {IACR Trans. Cryptogr. Hardw. Embed. Syst.},
author = {{Sayandeep Saha} and {Debdeep Mukhopadhyay} and {Pallab Dasgupta}}
}
@inproceedings{conf/ats/SanyalPDB19,
title = {A Structured Approach for Rapid Identification of Fault-Sensitive Nets in Analog Circuits.},
year = {2019},
booktitle = {ATS},
author = {{Sayandeep Sanyal} and {Amit Patra} and {Pallab Dasgupta} and {Mayukh Bhattacharya}},
publisher = {IEEE},
booktitle = {28th IEEE Asian Test Symposium, ATS 2019, Kolkata, India, December 10-13, 2019}
}
@inproceedings{conf/dac/SahaKPMD19,
title = {ALAFA: Automatic Leakage Assessment for Fault Attack Countermeasures.},
year = {2019},
booktitle = {DAC},
author = {{Sayandeep Saha} and {S. Nishok Kumar} and {Sikhar Patranabis} and {Debdeep Mukhopadhyay} and {Pallab Dasgupta}},
publisher = {ACM},
booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, DAC 2019, Las Vegas, NV, USA, June 02-06, 2019}
}
@inproceedings{conf/glvlsi/SanyalGPDB19,
title = {Fault Classification and Coverage of Analog Circuits using DC Operating Point and Frequency Response Analysis.},
year = {2019},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Sayandeep Sanyal} and {Shan Pavan Pani Krishna Garapati} and {Amit Patra} and {Pallab Dasgupta} and {Mayukh Bhattacharya}},
publisher = {ACM},
booktitle = {Proceedings of the 2019 on Great Lakes Symposium on VLSI, GLSVLSI 2019, Tysons Corner, VA, USA, May 9-11, 2019}
}
@inproceedings{conf/itsc/GangopadhyayKDD19,
title = {Identification of Test Cases for Automated Driving Systems Using Bayesian Optimization.},
year = {2019},
booktitle = {ITSC},
author = {{Briti Gangopadhyay} and {Siddartha Khastgir} and {Sumanta Dey} and {Pallab Dasgupta} and {Giovanni Montana} and {Paul A. Jennings}},
publisher = {IEEE},
booktitle = {2019 IEEE Intelligent Transportation Systems Conference, ITSC 2019, Auckland, New Zealand, October 27-30, 2019}
}
@inproceedings{conf/vlsid/GhoshDD19,
title = {Synthesizing Performance-Aware (m, k)-Firm Control Execution Patterns Under Dropped Samples.},
year = {2019},
booktitle = {VLSID},
author = {{Sumana Ghosh} and {Soumyajit Dey} and {Pallab Dasgupta}},
publisher = {IEEE},
booktitle = {32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, VLSID 2019, Delhi, India, January 5-9, 2019}
}
@article{journals/corr/abs-1905-12262,
title = {Flexible Mining of Prefix Sequences from Time-Series Traces.},
year = {2019},
journal = {CoRR},
author = {{Antonio Anastasio Bruto da Costa} and {Goran Frehse} and {Pallab Dasgupta}}
}
@article{journals/iet-cdt/GhoshDD19,
title = {Performance and energy aware robust specification of control execution patterns under dropped samples.},
year = {2019},
journal = {IET Comput. Digit. Tech.},
author = {{Sumana Ghosh} and {Soumyajit Dey} and {Pallab Dasgupta}}
}
@article{journals/jce/SahaKMD19,
title = {An automated framework for exploitable fault identification in block ciphers.},
year = {2019},
journal = {J. Cryptogr. Eng.},
author = {{Sayandeep Saha} and {Ujjawal Kumar} and {Debdeep Mukhopadhyay} and {Pallab Dasgupta}}
}
@article{journals/tcad/AinD19,
title = {Interpreting Local Variables in AMS Assertions During Simulation.},
year = {2019},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Antara Ain} and {Pallab Dasgupta}}
}
@article{journals/tifs/SahaJPMBD19,
title = {Automatic Characterization of Exploitable Faults: A Machine Learning Approach.},
year = {2019},
journal = {IEEE Trans. Inf. Forensics Secur.},
author = {{Sayandeep Saha} and {Dirmanto Jap} and {Sikhar Patranabis} and {Debdeep Mukhopadhyay} and {Shivam Bhasin} and {Pallab Dasgupta}}
}
@inproceedings{conf/aspdac/SanyalHDMSB20,
title = {The Notion of Cross Coverage in AMS Design Verification.},
year = {2020},
booktitle = {ASP-DAC},
author = {{Sayandeep Sanyal} and {Aritra Hazra} and {Pallab Dasgupta} and {Scott Morrison} and {Sudhakar Surendran} and {Lakshmanan Balasubramanian}},
publisher = {IEEE},
booktitle = {25th Asia and South Pacific Design Automation Conference, ASP-DAC 2020, Beijing, China, January 13-16, 2020}
}
@inproceedings{conf/ijcai/DeyDG20,
title = {Safety Augmentation in Decision Trees.},
year = {2020},
booktitle = {AISafety@IJCAI},
author = {{Sumanta Dey} and {Pallab Dasgupta} and {Briti Gangopadhyay}},
publisher = {CEUR-WS.org},
booktitle = {Proceedings of the Workshop on Artificial Intelligence Safety 2020 co-located with the 29th International Joint Conference on Artificial Intelligence and the 17th Pacific Rim International Conference on Artificial Intelligence (IJCAI-PRICAI 2020), Yokohama, Japan, January, 2021.}
}
@inproceedings{conf/vlsid/SanyalHDMSB20,
title = {CoveRT: A Coverage Reporting Tool for Analog Mixed-Signal Designs.},
year = {2020},
booktitle = {VLSID},
author = {{Sayandeep Sanyal} and {Aritra Hazra} and {Pallab Dasgupta} and {Scott Morrison} and {Sudhakar Surendran} and {Lakshmanan Balasubramanian}},
publisher = {IEEE},
booktitle = {33rd International Conference on VLSI Design and 19th International Conference on Embedded Systems, VLSID 2020, Bangalore, India, January 4-8, 2020}
}
@article{journals/corr/abs-2004-12152,
title = {Semi-Lexical Languages - A Formal Basis for Unifying Machine Learning and Symbolic Reasoning in Computer Vision.},
year = {2020},
journal = {CoRR},
author = {{Briti Gangopadhyay} and {Somnath Hazra} and {Pallab Dasgupta}}
}
@article{journals/corr/abs-2005-00995,
title = {Early-Stage Resource Estimation from Functional Reliability Specification in Embedded Cyber-Physical Systems.},
year = {2020},
journal = {CoRR},
author = {{Ginju V. George} and {Aritra Hazra} and {Pallab Dasgupta} and {Partha Pratim Chakrabarti}}
}
@article{journals/et/SanyalBPD20,
title = {A Methodology for Identification of Internal Nets for Improving Fault Coverage in Analog and Mixed Signal Circuits.},
year = {2020},
journal = {J. Electron. Test.},
author = {{Sayandeep Sanyal} and {Mayukh Bhattacharya} and {Amit Patra} and {Pallab Dasgupta}}
}
@article{journals/iacr/SahaABMD20,
title = {Leakage Assessment in Fault Attacks: A Deep Learning Perspective.},
year = {2020},
journal = {IACR Cryptol. ePrint Arch.},
author = {{Sayandeep Saha} and {Manaar Alam} and {Arnab Bag} and {Debdeep Mukhopadhyay} and {Pallab Dasgupta}}
}
@article{journals/iet-cdt/JanaDMD20,
title = {Automated planning for finding alternative bug traces.},
year = {2020},
journal = {IET Comput. Digit. Tech.},
author = {{Rajib Lochan Jana} and {Soumyajit Dey} and {Arijit Mondal} and {Pallab Dasgupta}}
}
@article{journals/speech/RengaswamyRRD20,
title = {Robust f0 extraction from monophonic signals using adaptive sub-band filtering.},
year = {2020},
journal = {Speech Commun.},
author = {{Pradeep Rengaswamy} and {Mittapalle Kiran Reddy} and {Krothapalli Sreenivasa Rao} and {Pallab Dasgupta}}
}
@article{journals/tecs/GhoshDD20,
title = {Pattern Guided Integrated Scheduling and Routing in Multi-Hop Control Networks.},
year = {2020},
journal = {ACM Trans. Embed. Comput. Syst.},
author = {{Sumana Ghosh} and {Soumyajit Dey} and {Pallab Dasgupta}}
}
@article{journals/todaes/JanaDD20,
title = {A Hierarchical HVAC Control Scheme for Energy-aware Smart Building Automation.},
year = {2020},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Rajib Lochan Jana} and {Soumyajit Dey} and {Pallab Dasgupta}}
}
@article{journals/tvlsi/MandalDHM20,
title = {Assertions for Protecting Mixed-Signal Latency Contracts in Power Management.},
year = {2020},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Sudipa Mandal} and {Pallab Dasgupta} and {Aritra Hazra} and {Chunduri Rama Mohan}}
}
@inproceedings{conf/isgta/VermaDC21,
title = {ML-assisted Real Time Congestion Mitigation under Supply-side Uncertainties.},
year = {2021},
booktitle = {ISGT Asia},
author = {{Praveen Verma} and {Pallab Dasgupta} and {Chandan Chakraborty}},
publisher = {IEEE},
booktitle = {2021 IEEE PES Innovative Smart Grid Technologies - Asia (ISGT Asia), Brisbane, Australia, December 5-8, 2021}
}
@inproceedings{conf/nips/GangopadhyayD21,
title = {Counterexample Guided RL Policy Refinement Using Bayesian Optimization.},
year = {2021},
booktitle = {NeurIPS},
author = {{Briti Gangopadhyay} and {Pallab Dasgupta}},
booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual.}
}
@inproceedings{conf/vlsid/MandalGDH21,
title = {An RL based Approach for Thermal-Aware Energy Optimized Task Scheduling in Multi-core Processors.},
year = {2021},
booktitle = {VLSID},
author = {{Sudipa Mandal} and {Krushna Gaurkar} and {Pallab Dasgupta} and {Aritra Hazra}},
publisher = {IEEE},
booktitle = {34th International Conference on VLSI Design and 20th International Conference on Embedded Systems, VLSID 2021, Guwahati, India, February 20-24, 2021}
}
@article{journals/corr/abs-2101-01255,
title = {Quantitative Corner Case Feature Analysis of Hybrid Automata with ForFETSMT.},
year = {2021},
journal = {CoRR},
author = {{Antonio Anastasio Bruto da Costa} and {Pallab Dasgupta} and {Nikolaos Kekatos}}
}
@article{journals/corr/abs-2104-14785,
title = {Methodology for Biasing Random Simulation for Rapid Coverage of Corner Cases in AMS Designs.},
year = {2021},
journal = {CoRR},
author = {{Sayandeep Sanyal} and {Ayan Chakraborty 005} and {Pallab Dasgupta} and {Aritra Hazra}}
}
@article{journals/cssp/RengaswamyMRD21,
title = {hf0: A Hybrid Pitch Extraction Method for Multimodal Voice.},
year = {2021},
journal = {Circuits Syst. Signal Process.},
author = {{Pradeep Rengaswamy} and {Gurunath Reddy M.} and {K. Sreenivasa Rao} and {Pallab Dasgupta}}
}
@article{journals/cssp/RengaswamyRD21,
title = {SongF0: A Spectrum-Based Fundamental Frequency Estimation for Monophonic Songs.},
year = {2021},
journal = {Circuits Syst. Signal Process.},
author = {{Pradeep Rengaswamy} and {K. Sreenivasa Rao} and {Pallab Dasgupta}}
}
@article{journals/esl/AdhikaryGTCDHD21,
title = {SMT-Based Verification of Safety-Critical Embedded Control Software.},
year = {2021},
journal = {IEEE Embed. Syst. Lett.},
author = {{Sunandan Adhikary} and {Amit Gurung} and {Jay Thakkar} and {Antonio Anastasio Bruto da Costa} and {Soumyajit Dey} and {Aritra Hazra} and {Pallab Dasgupta}}
}
@article{journals/esl/MandalHD21,
title = {Usage-Driven Personalization of Power Management Logic.},
year = {2021},
journal = {IEEE Embed. Syst. Lett.},
author = {{Sudipa Mandal} and {Aritra Hazra} and {Pallab Dasgupta}}
}
@article{journals/jair/CostaD21,
title = {Learning Temporal Causal Sequence Relationships from Real-Time Time-Series.},
year = {2021},
journal = {J. Artif. Intell. Res.},
author = {{Antonio Anastasio Bruto da Costa} and {Pallab Dasgupta}}
}
@article{journals/prl/GangopadhyayHD21,
title = {Semi-lexical languages: a formal basis for using domain knowledge to resolve ambiguities in deep-learning based computer vision.},
year = {2021},
journal = {Pattern Recognit. Lett.},
author = {{Briti Gangopadhyay} and {Somnath Hazra} and {Pallab Dasgupta}}
}
@article{journals/tcad/SanyalCD21,
title = {Recurrence in Dense-Time AMS Assertions.},
year = {2021},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Sayandeep Sanyal} and {Antonio Anastasio Bruto da Costa} and {Pallab Dasgupta}}
}
@article{journals/todaes/GhoshDD20,
title = {Performance-Driven Post-Processing of Control Loop Execution Schedules.},
year = {2021},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Sumana Ghosh} and {Soumyajit Dey} and {Pallab Dasgupta}}
}
@inproceedings{conf/cvpr/DeyDC22,
title = {SymDNN: Simple & Effective Adversarial Robustness for Embedded Systems.},
year = {2022},
booktitle = {CVPR Workshops},
author = {{Swarnava Dey} and {Pallab Dasgupta} and {Partha Pratim Chakrabarti}},
publisher = {IEEE},
booktitle = {IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops, CVPR Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}
}
@inproceedings{conf/isgta/VermaGDC22,
title = {Critical Load Identification for Load Redistribution Attacks.},
year = {2022},
booktitle = {ISGT Asia},
author = {{Praveen Verma} and {Sagar Gupta} and {Pallab Dasgupta} and {Chandan Chakraborty}},
publisher = {IEEE},
booktitle = {IEEE PES Innovative Smart Grid Technologies - Asia, ISGT Asia 2022, Singapore, November 1-5, 2022}
}
@inproceedings{conf/pricai/GangopadhyayDD22,
title = {PruVer: Verification Assisted Pruning for Deep Reinforcement Learning.},
year = {2022},
booktitle = {PRICAI (1)},
author = {{Briti Gangopadhyay} and {Pallab Dasgupta} and {Soumyajit Dey}},
publisher = {Springer},
booktitle = {PRICAI 2023: Trends in Artificial Intelligence - 20th Pacific Rim International Conference on Artificial Intelligence, PRICAI 2023, Jakarta, Indonesia, November 15-19, 2023, Proceedings, Part I}
}
@inproceedings{conf/vlsid/ChakrabortySDHM22,
title = {Tracking Coverage Artefacts for Periodic Signals using Sequence-based Abstractions.},
year = {2022},
booktitle = {VLSID},
author = {{Ayan Chakraborty 005} and {Sayandeep Sanyal} and {Pallab Dasgupta} and {Aritra Hazra} and {Scott Morrison} and {Sudhakar Surendran} and {Lakshmanan Balasubramanian}},
publisher = {IEEE},
booktitle = {35th International Conference on VLSI Design and 2022 21st International Conference on Embedded Systems, VLSID 2022, Bangalore, India, February 26 - March 2, 2022}
}
@article{journals/tcad/MandalD22,
title = {Migrating Assertions From Dense to Discrete Time.},
year = {2022},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Sudipa Mandal} and {Pallab Dasgupta}}
}
@article{journals/tcad/SanyalDHDMSB22,
title = {The CoveRT Approach for Coverage Management in Analog and Mixed-Signal Integrated Circuits.},
year = {2022},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Sayandeep Sanyal} and {Pallab Dasgupta} and {Aritra Hazra} and {Sourav Das} and {Scott Morrison} and {Sudhakar Surendran} and {Lakshmanan Balasubramanian}}
}
@article{journals/tits/GangopadhyaySD22,
title = {Hierarchical Program-Triggered Reinforcement Learning Agents for Automated Driving.},
year = {2022},
journal = {IEEE Trans. Intell. Transp. Syst.},
author = {{Briti Gangopadhyay} and {Harshit Soora} and {Pallab Dasgupta}}
}
@article{journals/tnsm/DeyMDD22,
title = {Adaptive Safety Shields for Reinforcement Learning-Based Cell Shaping.},
year = {2022},
month = {December},
journal = {IEEE Trans. Netw. Serv. Manag.},
author = {{Sumanta Dey} and {Anusha Mujumdar} and {Pallab Dasgupta} and {Soumyajit Dey}}
}
@inproceedings{conf/aaai/DeyDD23,
title = {Safe Reinforcement Learning through Phasic Safety-Oriented Policy Optimization.},
year = {2023},
booktitle = {SafeAI@AAAI},
author = {{Sumanta Dey} and {Pallab Dasgupta} and {Soumyajit Dey}},
publisher = {CEUR-WS.org},
booktitle = {Proceedings of the Workshop on Artificial Intelligence Safety 2023 (SafeAI 2023) co-located with the Thirty-Seventh AAAI Conference on Artificial Intelligence (AAAI 2023), Washington DC, USA, February 13-14, 2023.}
}
@inproceedings{conf/aaai/GangopadhyayDD23,
title = {Safety Aware Neural Pruning for Deep Reinforcement Learning (Student Abstract).},
year = {2023},
booktitle = {AAAI},
author = {{Briti Gangopadhyay} and {Pallab Dasgupta} and {Soumyajit Dey}},
publisher = {AAAI Press},
booktitle = {Thirty-Seventh AAAI Conference on Artificial Intelligence, AAAI 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, IAAI 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, EAAI 2023, Washington, DC, USA, February 7-14, 2023}
}
@inproceedings{conf/atal/GangopadhyayDD23,
title = {Counterexample-Guided Policy Refinement in Multi-Agent Reinforcement Learning.},
year = {2023},
booktitle = {AAMAS},
author = {{Briti Gangopadhyay} and {Pallab Dasgupta} and {Soumyajit Dey}},
publisher = {ACM},
booktitle = {Proceedings of the 2023 International Conference on Autonomous Agents and Multiagent Systems, AAMAS 2023, London, United Kingdom, 29 May 2023 - 2 June 2023}
}
@inproceedings{conf/date/SanyalHDMSBR23,
title = {Analog Coverage-driven Selection of Simulation Corners for AMS Integrated Circuits.},
year = {2023},
booktitle = {DATE},
author = {{Sayandeep Sanyal} and {Aritra Hazra} and {Pallab Dasgupta} and {Scott Morrison} and {Sudhakar Surendran} and {Lakshmanan Balasubramanian} and {Mohammad Moshiur Rahman}},
publisher = {IEEE},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2023, Antwerp, Belgium, April 17-19, 2023}
}
@inproceedings{conf/extraamas/DeyBDD23,
title = {Imperative Action Masking for Safe Exploration in Reinforcement Learning.},
year = {2023},
booktitle = {EXTRAAMAS},
author = {{Sumanta Dey} and {Sharat Bhat} and {Pallab Dasgupta} and {Soumyajit Dey}},
publisher = {Springer},
booktitle = {Explainable and Transparent AI and Multi-Agent Systems - 5th International Workshop, EXTRAAMAS 2023, London, UK, May 29, 2023, Revised Selected Papers}
}
@inproceedings{conf/ijcnn/DeyDC23,
title = {DietCNN: Multiplication-free Inference for Quantized CNNs.},
year = {2023},
booktitle = {IJCNN},
author = {{Swarnava Dey} and {Pallab Dasgupta} and {Partha Pratim Chakrabarti}},
publisher = {IEEE},
booktitle = {International Joint Conference on Neural Networks, IJCNN 2023, Gold Coast, Australia, June 18-23, 2023}
}
@inproceedings{conf/netsoft/DeyPDD23,
title = {Domain Adaptation of Reinforcement Learning Agents based on Network Service Proximity.},
year = {2023},
booktitle = {NetSoft},
author = {{Kaushik Dey} and {Satheesh K. Perepu} and {Pallab Dasgupta} and {Abir Das}},
publisher = {IEEE},
booktitle = {9th IEEE International Conference on Network Softwarization, NetSoft 2023, Madrid, Spain, June 19-23, 2023}
}
@inproceedings{conf/percom/MukhopadhyayDGSD23,
title = {Generating Tiny Deep Neural Networks for ECG Classification on Micro-Controllers.},
year = {2023},
booktitle = {PerCom Workshops},
author = {{Shalini Mukhopadhyay} and {Swarnava Dey} and {Avik Ghose} and {Pragya Singh} and {Pallab Dasgupta}},
publisher = {IEEE},
booktitle = {IEEE International Conference on Pervasive Computing and Communications Workshops and other Affiliated Events, PerCom Workshops 2023, Atlanta, GA, USA, March 13-17, 2023}
}
@inproceedings{conf/vlsid/SanyalBDP23,
title = {Accelerating Defect Simulation in Analog and Mixed-Signal Circuits by Parallel Defect Injection.},
year = {2023},
booktitle = {VLSID},
author = {{Sayandeep Sanyal} and {Mayukh Bhattacharya} and {Pallab Dasgupta} and {Amit Patra}},
publisher = {IEEE},
booktitle = {36th International Conference on VLSI Design and 2023 22nd International Conference on Embedded Systems, VLSID 2023, Hyderabad, India, January 8-12, 2023}
}
@article{journals/todaes/DasSHD23,
title = {CoVerPlan: A Comprehensive Verification Planning Framework Leveraging PSS Specifications.},
year = {2023},
month = {January},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Sourav Das} and {Sayandeep Sanyal} and {Aritra Hazra} and {Pallab Dasgupta}}
}
@article{journals/tiv/GangopadhyayDD23,
title = {Safe and Stable RL (S2RL) Driving Policies Using Control Barrier and Control Lyapunov Functions.},
year = {2023},
month = {February},
journal = {IEEE Trans. Intell. Veh.},
author = {{Briti Gangopadhyay} and {Pallab Dasgupta} and {Soumyajit Dey}}
}
@article{journals/joc/SahaABMD23,
title = {Learn from Your Faults: Leakage Assessment in Fault Attacks Using Deep Learning.},
year = {2023},
month = {July},
journal = {J. Cryptol.},
author = {{Sayandeep Saha} and {Manaar Alam} and {Arnab Bag} and {Debdeep Mukhopadhyay} and {Pallab Dasgupta}}
}
@article{journals/cviu/HazraD23,
title = {Penalizing proposals using classifiers for semi-supervised object detection.},
year = {2023},
month = {October},
journal = {Comput. Vis. Image Underst.},
author = {{Somnath Hazra} and {Pallab Dasgupta}}
}
@inproceedings{conf/aaai/DeyDD24,
title = {P2BPO: Permeable Penalty Barrier-Based Policy Optimization for Safe RL.},
year = {2024},
booktitle = {AAAI},
author = {{Sumanta Dey} and {Pallab Dasgupta} and {Soumyajit Dey}},
publisher = {AAAI Press},
booktitle = {Thirty-Eighth AAAI Conference on Artificial Intelligence, AAAI 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, IAAI 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, EAAI 2014, February 20-27, 2024, Vancouver, Canada}
}