Qiang Ma

Affiliations:
  • University of Illinois at Urbana-Champaign, IL, USA


According to our database1, Qiang Ma authored at least 26 papers between 2007 and 2013.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2013
A routing algorithm for graphene nanoribbon circuit.
ACM Trans. Design Autom. Electr. Syst., 2013

Block copolymer directed self-assembly (DSA) aware contact layer optimization for 10 nm 1D standard cell library.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Spacer-is-dielectric-compliant detailed routing for self-aligned double patterning lithography.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

An ILP-based automatic bus planner for dense PCBs.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

Linear time algorithm to find all relocation positions for EUV defect mitigation.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Routing algorithms for electronic design automation
PhD thesis, 2012

NP-Completeness and an Approximation Algorithm for Rectangle Escape Problem With Application to PCB Routing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Advances in PCB Routing.
IPSJ Trans. Syst. LSI Des. Methodol., 2012

Algorithmic study on the routing reliability problem.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

A polynomial time triple patterning algorithm for cell based row-structure layout.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

Triple patterning aware routing and its comparison with double patterning aware routing in 14nm technology.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Simultaneous Handling of Symmetry, Common Centroid, and General Placement Constraints.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

MSV-Driven Floorplanning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

A New Strategy for Simultaneous Escape Based on Boundary Routing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

An optimal algorithm for layer assignment of bus escape routing on PCBs.
Proceedings of the 48th Design Automation Conference, 2011

Routing with graphene nanoribbons.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

A provably good approximation algorithm for Rectangle Escape Problem with application to PCB routing.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
Multivoltage Floorplan Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

A negotiated congestion based router for simultaneous escape routing.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

B-escape: a simultaneous escape routing algorithm based on boundary routing.
Proceedings of the 2010 International Symposium on Physical Design, 2010

On the escape routing of differential pairs.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

An optimal algorithm for finding disjoint rectangles and its application to PCB routing.
Proceedings of the 47th Design Automation Conference, 2010

Configurable multi-product floorplanning.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2008
Network flow-based power optimization under timing constraints in MSV-driven floorplanning.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

2007
Analog placement with common centroid constraints.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Voltage island-driven floorplanning.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007


  Loading...