Qiao Li

Orcid: 0000-0002-4579-4268

Affiliations:
  • Xiamen University, China
  • City University of Hong Kong, Kowloon, Hong Kong (former)


According to our database1, Qiao Li authored at least 54 papers between 2015 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Revisiting Swapping in User-Space With Lightweight Threading.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

LDPC Level Prediction Toward Read Performance of High-Density Flash Memories.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2023

Variational Nested Dropout.
IEEE Trans. Pattern Anal. Mach. Intell., August, 2023

Towards LDPC Read Performance of 3D Flash Memories with Layer-induced Error Characteristics.
ACM Trans. Design Autom. Electr. Syst., 2023

Read Disturb and Reliability: The Complete Story for 3D CT NAND Flash.
Proceedings of the 12th Non-Volatile Memory Systems and Applications Symposium, 2023

MGC: Multiple-Gray-Code for 3D NAND Flash based High-Density SSDs.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

Multi-Granularity Shadow Paging with NVM Write Optimization for Crash-Consistent Memory-Mapped I/O.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

A Study of Invalid Programming in 3D QLC NAND Flash Memories.
Proceedings of the 15th ACM/USENIX Workshop on Hot Topics in Storage and File Systems, 2023

2022
Accelerating Monte Carlo Bayesian Prediction via Approximating Predictive Uncertainty Over the Simplex.
IEEE Trans. Neural Networks Learn. Syst., 2022

Resolving the Reliability Issues of Open Blocks for 3-D NAND Flash: Observations and Strategies.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Bits-Ensemble: Toward Light-Weight Robust Deep Ensemble by Bits-Sharing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Online Rare Category Identification and Data Diversification for Edge Computing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Stop unnecessary refreshing: extending 3D NAND flash lifetime with ORBER.
CCF Trans. High Perform. Comput., 2022

RM-SSD: In-Storage Computing for Large-Scale Recommendation Inference.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

DWR: Differential Wearing for Read Performance Optimization on High-Density NAND Flash Memory.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
iTRIM: I/O-Aware TRIM for Improving User Experience on Mobile Devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Bayesian Nested Neural Networks for Uncertainty Calibration and Adaptive Compression.
CoRR, 2021

How the common retention acceleration method of 3D NAND flash memory goes wrong?
Proceedings of the HotStorage '21: 13th ACM Workshop on Hot Topics in Storage and File Systems, 2021

Bayesian Nested Neural Networks for Uncertainty Calibration and Adaptive Compression.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2021

2020
Process Variation Aware Read Performance Improvement for LDPC-Based nand Flash Memory.
IEEE Trans. Reliab., 2020

Boosting User Experience via Foreground-Aware Cache Management in UFS Mobile Devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Aging Capacitor Supported Cache Management Scheme for Solid-State Drives.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Maximizing I/O Throughput and Minimizing Performance Variation via Reinforcement Learning Based I/O Merging for SSDs.
IEEE Trans. Computers, 2020

Exploiting Asymmetric Errors for LDPC Decoding Optimization on 3D NAND Flash Memory.
IEEE Trans. Computers, 2020

Shaving Retries with Sentinels for Fast Read over High-Density 3D Flash.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

Fully Nested Neural Network for Adaptive Compression and Quantization.
Proceedings of the Twenty-Ninth International Joint Conference on Artificial Intelligence, 2020

Valid Window: A New Metric to Measure the Reliability of NAND Flash Memory.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Access Characteristic Guided Partition for Read Performance Improvement on Solid State Drives.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Minimizing Retention Induced Refresh Through Exploiting Process Variation of Flash Memory.
IEEE Trans. Computers, 2019

Accelerating Monte Carlo Bayesian Inference via Approximating Predictive Uncertainty over Simplex.
CoRR, 2019

Fair Down to the Device: A GC-Aware Fair Scheduler for SSD.
Proceedings of the 2019 IEEE Non-Volatile Memory Systems and Applications Symposium, 2019

Optimizing Tail Latency of LDPC based Flash Memory Storage Systems Via Smart Refresh.
Proceedings of the 2019 IEEE International Conference on Networking, 2019

Constructing Large, Durable and Fast SSD System via Reprogramming 3D TLC Flash Memory.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

Mismatched Memory Management of Android Smartphones.
Proceedings of the 11th USENIX Workshop on Hot Topics in Storage and File Systems, 2019

Sentinel Cells Enabled Fast Read for NAND Flash.
Proceedings of the 11th USENIX Workshop on Hot Topics in Storage and File Systems, 2019

Online Rare Category Detection for Edge Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Leveraging Approximate Data for Robust Flash Storage.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Write Energy Reduction for PCM via Pumping Efficiency Improvement.
ACM Trans. Storage, 2018

Exploiting Chip Idleness for Minimizing Garbage Collection - Induced Chip Access Conflict on SSDs.
ACM Trans. Design Autom. Electr. Syst., 2018

Access Characteristic Guided Read and Write Regulation on Flash Based Storage Systems.
IEEE Trans. Computers, 2018

Selective Compression Scheme for Read Performance Improvement on Flash Devices.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018

An Efficient Cache Management Scheme for Capacitor Equipped Solid State Drives.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

Loss is Gain: Shortening Data for Lifetime Improvement on Low-Cost ECC Enabled Consumer-Level Flash Memory.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

Revisiting wear leveling design on compression applied 3D NAND flash memory: work-in-progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2018

Maximizing I/O throughput and minimizing performance variation via reinforcement learning based I/O merging for SSDs: work-in-progress.
Proceedings of the International Conference on Compilers, 2018

Energy, latency, and lifetime improvements in MLC NVM with enhanced WOM code.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Improving read performance via selective Vpass reduction on high density 3D NAND flash memory.
Proceedings of the IEEE 6th Non-Volatile Memory Systems and Applications Symposium, 2017

Exploiting Process Variation for Read Performance Improvement on LDPC Based Flash Memory Storage Systems.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Reducing LDPC Soft Sensing Latency by Lightweight Data Refresh for Flash Read Performance Improvement.
Proceedings of the 54th Annual Design Automation Conference, 2017

A PV aware data placement scheme for read performance improvement on LDPC based flash memory: work-in-progress.
Proceedings of the Twelfth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion, 2017

Improving LDPC performance via asymmetric sensing level placement on flash memory.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
An Empirical Study of File-System Fragmentation in Mobile Storage Systems.
Proceedings of the 8th USENIX Workshop on Hot Topics in Storage and File Systems, 2016

Access Characteristic Guided Read and Write Cost Regulation for Performance Improvement on Flash Memory.
Proceedings of the 14th USENIX Conference on File and Storage Technologies, 2016

2015
Maximizing IO performance via conflict reduction for flash memory storage systems.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015


  Loading...