Rabi N. Mahapatra

According to our database1, Rabi N. Mahapatra authored at least 146 papers between 1990 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Hardware Performance Counter Enhanced Watchdog for Embedded Software Security.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

Cross-layer Age-aware Scheme for Highly Reliable Memristor Crossbar Accelerator Design.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2023

2022
Exploiting Zero Knowledge Proof and Blockchains Towards the Enforcement of Anonymity, Data Integrity and Privacy (ADIP) in the IoT.
IEEE Trans. Emerg. Top. Comput., 2022

An Intelligent 2-D Chart Method With Autodetection for Weak Quasar Blind TDD Estimation in Deep Space △DOR Measurement.
IEEE Trans. Aerosp. Electron. Syst., 2022

2021
BPLight-CNN: A Photonics-Based Backpropagation Accelerator for Deep Learning.
ACM J. Emerg. Technol. Comput. Syst., 2021

Householder Sketch for Accurate and Accelerated Least-Mean-Squares Solvers.
Proceedings of the 38th International Conference on Machine Learning, 2021

2020
Adaptive Group-Based Zero Knowledge Proof-Authentication Protocol in Vehicular Ad Hoc Networks.
IEEE Trans. Intell. Transp. Syst., 2020

Distributed Training of Support Vector Machine on a Multiple-FPGA System.
IEEE Trans. Computers, 2020

On-chip Parallel Photonic Reservoir Computing using Multiple Delay Lines.
Proceedings of the 32nd IEEE International Symposium on Computer Architecture and High Performance Computing, 2020

BPhoton-CNN: An Ultrafast Photonic Backpropagation Accelerator for Deep Learning.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Heuristic Function Evolution For Pathfinding Algorithm in FPGA Accelerator.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence and Knowledge Engineering, 2020

Multi-Agent Pathfinding with Hierarchical Evolutionary Hueristic A.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence and Knowledge Engineering, 2020

2019
Fast and Communication-Efficient Algorithm for Distributed Support Vector Machine Training.
IEEE Trans. Parallel Distributed Syst., 2019

Evolutionary Heuristic A* Search: Pathfinding Algorithm with Self-Designed and Optimized Heuristic Function.
Int. J. Semantic Comput., 2019

Adaptive Group-based Zero Knowledge Proof-Authentication Protocol (AGZKP-AP) in Vehicular Ad Hoc Networks.
CoRR, 2019

Continuous Authentication of Embedded Software.
Proceedings of the 18th IEEE International Conference On Trust, 2019

MReC: A Multilayer Photonic Reservoir Computing Architecture.
Proceedings of the 20th International Symposium on Quality Electronic Design, 2019

FPGA-based Distributed Edge Training of SVM.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019

2018
BiGNoC: Accelerating Big Data Computing with Application-Specific Photonic Network-on-Chip Architectures.
IEEE Trans. Parallel Distributed Syst., 2018

Hybrid algorithm for accumulated error suppression in open-loop Doppler receiver.
IET Commun., 2018

Privacy-Preserving ECG based Active Authentication (PPEA2) for IoT Devices.
Proceedings of the 37th IEEE International Performance Computing and Communications Conference, 2018

Hardware Performance Counters for Embedded Software Anomaly Detection.
Proceedings of the 2018 IEEE 16th Intl Conf on Dependable, 2018

Evolutionary Heuristic A* Search: Heuristic Function Optimization via Genetic Algorithm.
Proceedings of the First IEEE International Conference on Artificial Intelligence and Knowledge Engineering, 2018

2017
A Time-shared Photonic Reservoir Computer for Big Data Analytics.
CoRR, 2017

Distributed QR Decomposition Framework for Training Support Vector Machines.
Proceedings of the 37th IEEE International Conference on Distributed Computing Systems, 2017

ConvLight: A Convolutional Accelerator with Memristor Integrated Photonic Computing.
Proceedings of the 24th IEEE International Conference on High Performance Computing, 2017

Using FPGA implementations for evaluation of internet retransmission-time-out predictors.
Proceedings of the Fifth European Conference on the Engineering of Computer-Based Systems, 2017

Private matching and set intersection computation in multi-agent and industrial control systems.
Proceedings of the 12th Annual Conference on Cyber and Information Security Research, 2017

Islands of heaters: A novel thermal management framework for photonic NoCs.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
A Relaxed Synchronization Approach for Solving Parallel Quadratic Programming Problems with Guaranteed Convergence.
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium, 2016

2015
Mode-Division-Multiplexed Photonic Router for High Performance Network-on-Chip.
Proceedings of the 28th International Conference on VLSI Design, 2015

A 2-layer laser multiplexed photonic network-on-chip.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

PID controlled thermal management in photonic network-on-chip.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

A Multilayered Design Approach for Efficient Hybrid 3D Photonics Network-on-chip.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

2013
A low-jitter phase-locked resonant clock generation and distribution scheme.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

Distributed Collaborative Filtering on a Single Chip Cloud Computer.
Proceedings of the 2013 IEEE International Conference on Cloud Engineering, 2013

A source-synchronous Htree-based network-on-chip.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

Exploring topologies for source-synchronous ring-based network-on-chip.
Proceedings of the Design, Automation and Test in Europe, 2013

A reconfigurable computing architecture for semantic information filtering.
Proceedings of the 2013 IEEE International Conference on Big Data (IEEE BigData 2013), 2013

2012
The Three-Tier Security Scheme in Wireless Sensor Networks with Mobile Sinks.
IEEE Trans. Parallel Distributed Syst., 2012

Optimizing a Collaborative Filtering Recommender for Many-Core Processors.
Proceedings of the Sixth IEEE International Conference on Semantic Computing, 2012

Designing a Collaborative Filtering Recommender on the Single Chip Cloud Computer.
Proceedings of the 2012 SC Companion: High Performance Computing, 2012

Architectural simulations of a fast, source-synchronous ring-based Network-on-Chip design.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

A fast, source-synchronous ring-based network-on-chip design.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Key Predistribution Schemes for Establishing Pairwise Keys with a Mobile Sink in Sensor Networks.
IEEE Trans. Parallel Distributed Syst., 2011

A Power and Throughput-Efficient Packet Classifier with n Bloom Filters.
IEEE Trans. Computers, 2011

Appropriate Evolutionary Algorithm for Scheduling in FMS.
Int. J. Appl. Evol. Comput., 2011

Interconnected Tile Standing Wave Resonant Oscillator Based Clock Distribution Circuits.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

An Automated Approach for Minimum Jitter Buffered H-Tree Construction.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

Optimizing a Semantic Comparator Using CUDA-enabled Graphics Hardware.
Proceedings of the 5th IEEE International Conference on Semantic Computing (ICSC 2011), 2011

Temperature aware energy management for real-time scheduling.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

Parallel Processor Core for Semantic Search Engines.
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011

2010
Semantic Technologies for Searching in e-Science Grids.
Proceedings of the Semantic e-Science, 2010

Design Space Exploration for Efficient Resource Utilization in Coarse-Grained Reconfigurable Architecture.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Dynamic Context Compression for Low-Power Coarse-Grained Reconfigurable Architecture.
IEEE Trans. Very Large Scale Integr. Syst., 2010

PowerAntz: Ant behavior inspired power budget distribution scheme for Network-on-Chip systems.
Microelectron. J., 2010

IntellBatt: Toward a Smarter Battery.
Computer, 2010

A Hardware Scheduler for Real Time Multiprocessor System on Chip.
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010

A parallel architecture for meaning comparison.
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010

Low power nanoscale buffer management for network on chip routers.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

Reliability aware power management for dual-processor real-time embedded systems.
Proceedings of the 47th Design Automation Conference, 2010

2009
Low Power Reconfiguration Technique for Coarse-Grained Reconfigurable Architecture.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Hardware assisted watermarking for multimedia.
Comput. Electr. Eng., 2009

Semantic Key for Meaning Based Searching.
Proceedings of the 3rd IEEE International Conference on Semantic Computing (ICSC 2009), 2009

A key pre-distribution scheme for heterogeneous sensor networks.
Proceedings of the International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly, 2009

Mobile sink using multiple channels to defend against wormhole attacks in wireless sensor networks.
Proceedings of the 28th International Performance Computing and Communications Conference, 2009

A Hash-based Scalable IP lookup using Bloom and Fingerprint Filters.
Proceedings of the 17th annual IEEE International Conference on Network Protocols, 2009

Representation of Complex Concepts for Semantic Routed Network.
Proceedings of the Distributed Computing and Networking, 10th International Conference, 2009

A distributed concurrent on-line test scheduling protocol for many-core NoC-based systems.
Proceedings of the 27th International Conference on Computer Design, 2009

Search Co-Ordination by Semantic Routed Network.
Proceedings of the 18th International Conference on Computer Communications and Networks, 2009

Dynamic context management for low power coarse-grained reconfigurable architecture.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

Hierarchical reconfigurable computing arrays for efficient CGRA-based embedded systems.
Proceedings of the 46th Design Automation Conference, 2009

2008
Data Handling Limits of On-Chip Interconnects.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Robust Concurrent Online Testing of Network-on-Chip-Based SoCs.
IEEE Trans. Very Large Scale Integr. Syst., 2008

A Dynamic Slack Management Technique for Real-Time Distributed Embedded Systems.
IEEE Trans. Computers, 2008

Practical and Verifiable C++ Dynamic Cast for Hard Real-Time Systems.
J. Comput. Sci. Eng., 2008

Time-Division-Multiplexed Test Delivery for NoC Systems.
IEEE Des. Test Comput., 2008

Dynamic Aggregation of Virtual Addresses in TLB Using TCAM Cells.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Secure Data Collection Scheme in Wireless Sensor Network with Mobile Sink.
Proceedings of The Seventh IEEE International Symposium on Networking Computing and Applications, 2008

An On-Demand Test Triggering Mechanism for NoC-Based Safety-Critical Systems.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

C++ Dynamic Cast in Autonomous Space Systems.
Proceedings of the 11th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2008), 2008

PowerAntz: distributed power sharing strategy for network on chip.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

A space- and time-efficient hash table hierarchically indexed by Bloom filters.
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008

Reusable context pipelining for low power coarse-grained reconfigurable architecture.
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008

An Efficient Key Distribution Scheme for Establishing Pairwise Keys with a Mobile Sink in Distributed Sensor Networks.
Proceedings of the 2008 IEEE International Performance, 2008

A Memory-Efficient Hashing by Multi-Predicate Bloom Filters for Packet Classification.
Proceedings of the INFOCOM 2008. 27th IEEE International Conference on Computer Communications, 2008

In-field NoC-based SoC testing with distributed test vector storage.
Proceedings of the 26th International Conference on Computer Design, 2008

Optimization of Semantic Routing Table.
Proceedings of the 17th International Conference on Computer Communications and Networks, 2008

A Throughput-Efficient Packet Classifier with n Bloom filters.
Proceedings of the Global Communications Conference, 2008. GLOBECOM 2008, New Orleans, LA, USA, 30 November, 2008

A New Array Fabric for Coarse-Grained Reconfigurable Architecture.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

Feedback-controlled reliability-aware power management for real-time embedded systems.
Proceedings of the 45th Design Automation Conference, 2008

IntellBatt: towards smarter battery design.
Proceedings of the 45th Design Automation Conference, 2008

2007
An Efficient Approach to On-Chip Logic Minimization.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Analysis of RealTime Embedded Applications in the Presence of a Stochastic Fault Model.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

An Enhanced CAM Architecture to Accelerate LZW Compression Algorithm.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

An Infrastructure IP for Online Testing of Network-on-Chip Based SoCs.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

SAPP: scalable and adaptable peak power management in nocs.
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007

Dynamically compressible context architecture for low power coarse-grained reconfigurable array.
Proceedings of the 25th International Conference on Computer Design, 2007

A Safety Analysis Framework for COTS Microprocessors in Safety-Critical Applications.
Proceedings of the Tenth IEEE International Symposium on High Assurance Systems Engineering (HASE 2007), 2007

A Robust Protocol for Concurrent On-Line Test (COLT) of NoC-based Systems-on-a-Chip.
Proceedings of the 44th Design Automation Conference, 2007

2006
Antenna Avoidance in Layer Assignment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Analytical bound for unwanted clock skew due to wire width variation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Reducing clock skew variability via crosslinks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Programmable LDPC Decoder Based on the Bubble-Sort Algorithm.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

Information Theoretic Capacity of Long On-chip Interconnects in the Presence of Crosstalk.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

Core Network Interface Architecture and Latency Constrained On-Chip Communication.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

Information theoretic approach to address delay and reliability in long on-chip interconnects.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

2005
An Energy-Efficient Slack Distribution Technique for Multimode Distributed Real-Time Embedded Systems.
IEEE Trans. Parallel Distributed Syst., 2005

EaseCAM: An Energy and Storage Efficient TCAM-Based Router Architecture for IP Lookup.
IEEE Trans. Computers, 2005

Fully associative cache partitioning with don't care bits for real-time applications.
SIGBED Rev., 2005

An integrated scheduling and buffer management scheme for input queued switches with finite buffer space.
Comput. Commun., 2005

A Heuristic for Peak Power Constrained Design of Network-on-Chip (NoC) Based Multimode Systems.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

A TDM Test Scheduling Method for Network-on-Chip Systems.
Proceedings of the Sixth International Workshop on Microprocessor Test and Verification (MTV 2005), 2005

Coupling aware timing optimization and antenna avoidance in layer assignment.
Proceedings of the 2005 International Symposium on Physical Design, 2005

Quantized LDPC decoder design for binary symmetric channels.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

X-Routing using Two Manhattan Route Instances.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

DiCER: distributed and cost-effective redundancy for variation tolerance.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

Integrated scheduling and buffer management input queued switches under extreme traffic scheme conditions.
Proceedings of IEEE International Conference on Communications, 2005

Lifetime Modeling of a Sensor Network.
Proceedings of the 2005 Design, 2005

TCAM enabled on-chip logic minimization.
Proceedings of the 42nd Design Automation Conference, 2005

Timing driven track routing considering coupling capacitance.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
TCAM Architecture for IP Lookup Using Prefix Properties.
IEEE Micro, 2004

M-trie: an efficient approach to on-chip logic minimization.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

Reducing clock skew variability via cross links.
Proceedings of the 41th Design Automation Conference, 2004

Energy characterization of filesystems for diskless embedded systems.
Proceedings of the 41th Design Automation Conference, 2004

Layer assignment for crosstalk risk minimization.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
Guest Editorial.
Microelectron. J., 2003

Interfacing Cores with On-chip Packet-Switched Networks.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

High Performance Code Generation through Lazy Activation Records.
Proceedings of the 7th Annual Workshop on Interaction between Compilers and Computer Architecture (INTERACT-7 2003), 2003

2002
Modified LC-Trie Based Efficient Routing Lookup.
Proceedings of the 10th International Workshop on Modeling, 2002

2000
Mapping of Neural Network Models onto Systolic Arrays.
J. Parallel Distributed Comput., 2000

Hierarchical Simulation of a Multiprocessor Architecture.
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000

1999
Mapping of neural network models onto massively parallel hierarchical computer systems.
J. Syst. Archit., 1999

1997
Performance analysis of 2-D inverse fast cosine transform employing multiprocessors.
IEEE Trans. Signal Process., 1997

Modelling Hadamard Haar transform algorithm for omega connected multiprocessors.
Signal Process., 1997

A Parallel Formulation of Back-Propagation Learning on Distributed Memory Multiprocessors.
Parallel Comput., 1997

Modeling of Wavelet Transform for De Bruijn Graph Connected Multiprocessors.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 1997

1996
Mapping of Neural Network Models Onto Two-Dimensional Processor Arrays.
Parallel Comput., 1996

Performance Modeling of discrete cosine Transform for Star Graph Connected Multiprocessors.
J. Circuits Syst. Comput., 1996

1994
Implementation of Fast Hartley Transform.
Proceedings of the Proceedings 1994 International Conference on Parallel and Distributed Systems, 1994

Parallel and Distributed Processing Research in Some Asian Countries.
Proceedings of the Proceedings 1994 International Conference on Parallel and Distributed Systems, 1994

1993
Modelling a 2-D inverse fast cosine transform algorithm on a multistage network.
Signal Process., 1993

1992
Vector Hartley Transform Employing Multiprocessors.
Proceedings of the 6th International Parallel Processing Symposium, 1992

1991
Modelling a Morphological thinning Algorithm for Shared Memory SIMD Computers.
Parallel Process. Lett., 1991

Modelling a Fast Parallel Thinning Algorithm for Shared Memory SIMD Computers.
Inf. Process. Lett., 1991

1990
Performance of Parallel FFT Algorithm on Multiprocessors.
Proceedings of the 1990 International Conference on Parallel Processing, 1990


  Loading...