% csauthors.net - beta - BibTeX bibliography of Robert K. Brayton
@article{journals/tc/BraytonW63,
title = {An Analysis of the Effect of Component Tolerances on the Amplification of the Balanced-Pair Tunnel-Diode Circuit.},
year = {1963},
journal = {IEEE Trans. Electron. Comput.},
author = {{Robert K. Brayton} and {Ralph A. Willoughby}}
}
@article{journals/ibmrd/Brayton64,
title = {Stability Criteria for Large Networks.},
year = {1964},
journal = {IBM J. Res. Dev.},
author = {{Robert K. Brayton}}
}
@book{books/sp/BraytonHMS84,
title = {Logic Minimization Algorithms for VLSI Synthesis},
year = {1984},
isbn = {978-1-4613-2821-6},
publisher = {Springer},
series = {The Kluwer International Series in Engineering and Computer Science},
author = {{Robert K. Brayton} and {Gary D. Hachtel} and {Curtis T. McMullen} and {Alberto L. Sangiovanni-Vincentelli}}
}
@article{journals/tcad/MicheliBS85,
title = {Optimal State Assignment for Finite State Machines.},
year = {1985},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Giovanni De Micheli} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@article{journals/tcad/MicheliBS86,
title = {Correction to "Optimal State Assignment for Finite State Machines".},
year = {1986},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Giovanni De Micheli} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@article{journals/tcad/BraytonRSW87,
title = {MIS: A Multiple-Level Logic Optimization System.},
year = {1987},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Robert K. Brayton} and {Richard L. Rudell} and {Alberto L. Sangiovanni-Vincentelli} and {Albert R. Wang}}
}
@inproceedings{conf/iccad/BauerFNB88,
title = {XPSim: a MOS VLSI simulator.},
year = {1988},
booktitle = {ICCAD},
author = {{Romy L. Bauer} and {Jiayuan Fang} and {Antony P.-C. Ng} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {1988 IEEE International Conference on Computer-Aided Design, ICCAD 1988, Santa Clara, CA, USA, November 7-10, 1988. Digest of Technical Papers}
}
@inproceedings{conf/iccad/BraytonSS88,
title = {Don't cares and global flow analysis of Boolean networks.},
year = {1988},
booktitle = {ICCAD},
author = {{Robert K. Brayton} and {Ellen M. Sentovich} and {Fabio Somenzi}},
publisher = {IEEE Computer Society},
booktitle = {1988 IEEE International Conference on Computer-Aided Design, ICCAD 1988, Santa Clara, CA, USA, November 7-10, 1988. Digest of Technical Papers}
}
@inproceedings{conf/iccad/MalikBNS88,
title = {A modified approach to two-level logic minimization.},
year = {1988},
booktitle = {ICCAD},
author = {{Abdul A. Malik} and {Robert K. Brayton} and {A. Richard Newton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {1988 IEEE International Conference on Computer-Aided Design, ICCAD 1988, Santa Clara, CA, USA, November 7-10, 1988. Digest of Technical Papers}
}
@inproceedings{conf/iccad/MalikWBS88,
title = {Logic verification using binary decision diagrams in a logic synthesis environment.},
year = {1988},
booktitle = {ICCAD},
author = {{Sharad Malik} and {Albert R. Wang} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {1988 IEEE International Conference on Computer-Aided Design, ICCAD 1988, Santa Clara, CA, USA, November 7-10, 1988. Digest of Technical Papers}
}
@inproceedings{conf/iccad/SinghWBS88,
title = {Timing optimization of combinational logic.},
year = {1988},
booktitle = {ICCAD},
author = {{Kanwar Jit Singh} and {Albert R. Wang} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {1988 IEEE International Conference on Computer-Aided Design, ICCAD 1988, Santa Clara, CA, USA, November 7-10, 1988. Digest of Technical Papers}
}
@article{journals/tcad/BartlettBHJMRSW88,
title = {Multi-level logic minimization using implicit don't cares.},
year = {1988},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Karen A. Bartlett} and {Robert K. Brayton} and {Gary D. Hachtel} and {Reily M. Jacoby} and {Christopher R. Morrison} and {Richard L. Rudell} and {Alberto L. Sangiovanni-Vincentelli} and {Albert R. Wang}}
}
@inproceedings{conf/dac/McGeerB89,
title = {Efficient Prime Factorization of Logic Expressions.},
year = {1989},
booktitle = {DAC},
author = {{Patrick C. McGeer} and {Robert K. Brayton}},
publisher = {ACM Press},
booktitle = {Proceedings of the 26th ACM/IEEE Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989.}
}
@inproceedings{conf/dac/McGeerB89a,
title = {Efficient Algorithms for Computing the Longest Viable Path in a Combinational Network.},
year = {1989},
booktitle = {DAC},
author = {{Patrick C. McGeer} and {Robert K. Brayton}},
publisher = {ACM Press},
booktitle = {Proceedings of the 26th ACM/IEEE Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989.}
}
@inproceedings{conf/dac/SaldanhaWBS89,
title = {Multi-level Logic Simplification Using Don't Cares and Filters.},
year = {1989},
booktitle = {DAC},
author = {{Alexander Saldanha} and {Albert R. Wang} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {ACM Press},
booktitle = {Proceedings of the 26th ACM/IEEE Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989.}
}
@inproceedings{conf/iccad/BeardsleeKMSBN89,
title = {SLIP: a software environment for system level interactive partitioning.},
year = {1989},
booktitle = {ICCAD},
author = {{Mark Beardslee} and {Chuck Kring} and {Rajeev Murgai} and {Hamid Savoj} and {Robert K. Brayton} and {A. Richard Newton}},
publisher = {IEEE Computer Society},
booktitle = {1989 IEEE International Conference on Computer-Aided Design, ICCAD 1989, Santa Clara, CA, USA, November 5-9, 1989. Digest of Technical Papers}
}
@inproceedings{conf/iccad/BraytonS89,
title = {An exact minimizer for Boolean relations.},
year = {1989},
booktitle = {ICCAD},
author = {{Robert K. Brayton} and {Fabio Somenzi}},
publisher = {IEEE Computer Society},
booktitle = {1989 IEEE International Conference on Computer-Aided Design, ICCAD 1989, Santa Clara, CA, USA, November 5-9, 1989. Digest of Technical Papers}
}
@inproceedings{conf/iccad/McGeerB89,
title = {Consistency and observability invariance in multi-level logic synthesis.},
year = {1989},
booktitle = {ICCAD},
author = {{Patrick C. McGeer} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {1989 IEEE International Conference on Computer-Aided Design, ICCAD 1989, Santa Clara, CA, USA, November 5-9, 1989. Digest of Technical Papers}
}
@inproceedings{conf/iccad/SavojMB89,
title = {Fast two-level logic minimizers for multi-level logic synthesis.},
year = {1989},
booktitle = {ICCAD},
author = {{Hamid Savoj} and {Abdul A. Malik} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {1989 IEEE International Conference on Computer-Aided Design, ICCAD 1989, Santa Clara, CA, USA, November 5-9, 1989. Digest of Technical Papers}
}
@inproceedings{conf/iccd/MalikBS89,
title = {Logic minimization for factored forms.},
year = {1989},
booktitle = {ICCD},
author = {{Abdul A. Malik} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE},
booktitle = {Computer Design: VLSI in Computers and Processors, ICCD 1989. Proceedings., 1989 IEEE International Conference on, Cambridge, MA, USA, October 2-4, 1989}
}
@inproceedings{conf/dac/MalikBNS90,
title = {Reduced Offsets for Two-Level Multi-Valued Logic Minimization.},
year = {1990},
booktitle = {DAC},
author = {{Abdul A. Malik} and {Robert K. Brayton} and {A. Richard Newton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society Press},
booktitle = {Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990.}
}
@inproceedings{conf/dac/McGeerB90,
title = {Timing Analysis in Precharge/Unate Networks.},
year = {1990},
booktitle = {DAC},
author = {{Patrick C. McGeer} and {Robert K. Brayton}},
publisher = {IEEE Computer Society Press},
booktitle = {Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990.}
}
@inproceedings{conf/dac/MurgaiNSBS90,
title = {Logic Synthesis for Programmable Gate Arrays.},
year = {1990},
booktitle = {DAC},
author = {{Rajeev Murgai} and {Yoshihito Nishizaki} and {Narendra V. Shenoy} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society Press},
booktitle = {Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990.}
}
@inproceedings{conf/dac/SavojB90,
title = {The Use of Observability and External Don't Cares for the Simplification of Multi-Level Networks.},
year = {1990},
booktitle = {DAC},
author = {{Hamid Savoj} and {Robert K. Brayton}},
publisher = {IEEE Computer Society Press},
booktitle = {Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990.}
}
@inproceedings{conf/iccad/LavagnoMBS90,
title = {MIS-MV: Optimization of Multi-Level Logic with Multiple-Valued Inputs.},
year = {1990},
booktitle = {ICCAD},
author = {{Luciano Lavagno} and {Sharad Malik} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}
}
@inproceedings{conf/iccad/MalikSBS90,
title = {Performance Optimization of Pipelined Circuits.},
year = {1990},
booktitle = {ICCAD},
author = {{Sharad Malik} and {Kanwar Jit Singh} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}
}
@inproceedings{conf/iccad/SaldanhaBSC90,
title = {Timing Optimization with Testability Considerations.},
year = {1990},
booktitle = {ICCAD},
author = {{Alexander Saldanha} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli} and {Kwang-Ting Cheng}},
publisher = {IEEE Computer Society},
booktitle = {IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}
}
@inproceedings{conf/iccad/SrinivasanKMB90,
title = {Algorithms for Discrete Function Manipulation.},
year = {1990},
booktitle = {ICCAD},
author = {{Arvind Srinivasan 004} and {Timothy Kam} and {Sharad Malik} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}
}
@inproceedings{conf/iccad/TouatiSLBS90,
title = {Implicit State Enumeration of Finite State Machines Using BDDs.},
year = {1990},
booktitle = {ICCAD},
author = {{Hervé J. Touati} and {Hamid Savoj} and {Bill Lin 001} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}
}
@inproceedings{conf/iccd/McGeerB90,
title = {The observability don't-care set and its approximations.},
year = {1990},
booktitle = {ICCD},
author = {{Patrick C. McGeer} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors, ICCD 1990, Cambridge, MA, USA, 17-19 September, 1990}
}
@article{journals/pieee/BraytonHS90,
title = {Multilevel logic synthesis.},
year = {1990},
journal = {Proc. IEEE},
author = {{Robert K. Brayton} and {Gary D. Hachtel} and {Alberto L. Sangiovanni-Vincentelli}}
}
@inproceedings{conf/dac/SaldanhaVBS91,
title = {A Framework for Satisfying Input and Output Encoding Constraints.},
year = {1991},
booktitle = {DAC},
author = {{Alexander Saldanha} and {Tiziano Villa} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {ACM},
booktitle = {Proceedings of the 28th Design Automation Conference, San Francisco, California, USA, June 17-21, 1991.}
}
@inproceedings{conf/iccad/McGeerBSS91,
title = {Performance Enhancement through the Generalized Bypass Transform.},
year = {1991},
booktitle = {ICCAD},
author = {{Patrick C. McGeer} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli} and {Sartaj Sahni}},
publisher = {IEEE Computer Society},
booktitle = {1991 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}
}
@inproceedings{conf/iccad/McGeerSSBS91,
title = {Timing Analysis and Delay-Fault Test Generation using Path-Recursive Functions.},
year = {1991},
booktitle = {ICCAD},
author = {{Patrick C. McGeer} and {Alexander Saldanha} and {Paul R. Stephan} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {1991 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}
}
@inproceedings{conf/iccad/MoonSB91,
title = {Synthesis of Hazard-Free Asynchronous Circuits from Graphical Specifications.},
year = {1991},
booktitle = {ICCAD},
author = {{Cho W. Moon} and {Paul R. Stephan} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {1991 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}
}
@inproceedings{conf/iccad/MurgaiBS91,
title = {On Clustering for Minimum Delay/Area.},
year = {1991},
booktitle = {ICCAD},
author = {{Rajeev Murgai} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {1991 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}
}
@inproceedings{conf/iccad/MurgaiSBS91,
title = {Improved Logic Synthesis Algorithms for Table Look Up Architectures.},
year = {1991},
booktitle = {ICCAD},
author = {{Rajeev Murgai} and {Narendra V. Shenoy} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {1991 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}
}
@inproceedings{conf/iccad/MurgaiSBS91a,
title = {Performance Directed Synthesis for Table Look Up Programmable Gate Arrays.},
year = {1991},
booktitle = {ICCAD},
author = {{Rajeev Murgai} and {Narendra V. Shenoy} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {1991 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}
}
@inproceedings{conf/iccad/SavojB91,
title = {Observability Relations and Observability Don't Cares.},
year = {1991},
booktitle = {ICCAD},
author = {{Hamid Savoj} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {1991 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}
}
@inproceedings{conf/iccad/SavojBT91,
title = {Extracting Local Don't Cares for Network Optimization.},
year = {1991},
booktitle = {ICCAD},
author = {{Hamid Savoj} and {Robert K. Brayton} and {Hervé J. Touati}},
publisher = {IEEE Computer Society},
booktitle = {1991 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}
}
@inproceedings{conf/iccad/TouatiSB91,
title = {Delay Optimization of Combinational Logic Circuits By Clustering and Partial Collapsing.},
year = {1991},
booktitle = {ICCAD},
author = {{Hervé J. Touati} and {Hamid Savoj} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {1991 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}
}
@inproceedings{conf/iccad/WatanbeB91,
title = {Heuristic Minimazation of Multiple-Valued Relations.},
year = {1991},
booktitle = {ICCAD},
author = {{Yosinori Watanabe} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {1991 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}
}
@inproceedings{conf/iccd/MalikHB91,
title = {Three-Level Decomposition with Application to PLDs.},
year = {1991},
booktitle = {ICCD},
author = {{Abdul A. Malik} and {David Harrison} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, ICCD '91, Cambridge, MA, USA, October 14-16, 1991}
}
@inproceedings{conf/iccd/ShenoyBS91,
title = {Retiming of Circuits with Single Phase Transparent Latches.},
year = {1991},
booktitle = {ICCD},
author = {{Narendra V. Shenoy} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, ICCD '91, Cambridge, MA, USA, October 14-16, 1991}
}
@inproceedings{conf/iccd/WatanabeB91,
title = {Incremental Synthesis for Engineering Changes.},
year = {1991},
booktitle = {ICCD},
author = {{Yosinori Watanabe} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, ICCD '91, Cambridge, MA, USA, October 14-16, 1991}
}
@inproceedings{conf/vlsi/SentovichB91,
title = {Preserving Don't Care Conditions During Retiming.},
year = {1991},
booktitle = {VLSI},
author = {{Ellen Sentovich} and {Robert K. Brayton}},
publisher = {North-Holland},
booktitle = {VLSI 91, Proceedings of the IFIP TC10/WG 10.5 International Conference on Very Large Scale Integration, Edinburgh, Scotland, 20-22 August, 1991}
}
@article{journals/tcad/MalikBNS91,
title = {Reduced offsets for minimization of binary-valued functions.},
year = {1991},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Abdul A. Malik} and {Robert K. Brayton} and {A. Richard Newton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@article{journals/tcad/MalikSBS91,
title = {Retiming and resynthesis: optimizing sequential networks with combinational techniques.},
year = {1991},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Sharad Malik} and {Ellen M. Sentovich} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@inproceedings{conf/cav/HojatiTKB92,
title = {Efficient omega-Regular Language Containment.},
year = {1992},
booktitle = {CAV},
author = {{Ramin Hojati} and {Hervé J. Touati} and {Robert P. Kurshan} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {Computer Aided Verification, Fourth International Workshop, CAV '92, Montreal, Canada, June 29 - July 1, 1992, Proceedings}
}
@inproceedings{conf/cav/ShipleCSB92,
title = {Automatic Reduction in CTL Compositional Model Checking.},
year = {1992},
booktitle = {CAV},
author = {{Thomas R. Shiple} and {Massimiliano Chiodo} and {Alberto L. Sangiovanni-Vincentelli} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {Computer Aided Verification, Fourth International Workshop, CAV '92, Montreal, Canada, June 29 - July 1, 1992, Proceedings}
}
@inproceedings{conf/dac/LavagnoMBS92,
title = {Solving the State Assignment Problem for Signal Transition Graphs.},
year = {1992},
booktitle = {DAC},
author = {{Luciano Lavagno} and {Cho W. Moon} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society Press},
booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992.}
}
@inproceedings{conf/dac/MurgaiBS92,
title = {An Improved Synthesis Algorithm for Multiplexor-Based PGA's.},
year = {1992},
booktitle = {DAC},
author = {{Rajeev Murgai} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society Press},
booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992.}
}
@inproceedings{conf/dac/SaldanhaBS92,
title = {Equivalence of Robust Delay-Fault and Single Stuck-Fault Test Generation.},
year = {1992},
booktitle = {DAC},
author = {{Alexander Saldanha} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society Press},
booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992.}
}
@inproceedings{conf/dac/SaldanhaBS92a,
title = {Circuit Structure Relations to Redundancy and Delay: The KMS Algorithm Revisited.},
year = {1992},
booktitle = {DAC},
author = {{Alexander Saldanha} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society Press},
booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992.}
}
@inproceedings{conf/dac/ShenoySBS92,
title = {On the Temporal Equivalence of Sequential Circuits.},
year = {1992},
booktitle = {DAC},
author = {{Narendra V. Shenoy} and {Kanwar Jit Singh} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society Press},
booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992.}
}
@inproceedings{conf/eurodac/SavojSBS92,
title = {Boolean matching in logic synthesis.},
year = {1992},
booktitle = {EURO-DAC},
author = {{Hamid Savoj} and {Mário J. Silva} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society Press},
booktitle = {Proceedings of the conference on European design automation, EURO-DAC '92, Hamburg, Germany, September 7-10, 1992}
}
@inproceedings{conf/iccad/ChiodoSSB92,
title = {Automatic compositional minimization in CTL model checking.},
year = {1992},
booktitle = {ICCAD},
author = {{Massimiliano Chiodo} and {Thomas R. Shiple} and {Alberto L. Sangiovanni-Vincentelli} and {Robert K. Brayton}},
publisher = {IEEE Computer Society / ACM},
booktitle = {1992 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}
}
@inproceedings{conf/iccad/LamBS92,
title = {Valid clocking in wavepipelined circuits.},
year = {1992},
booktitle = {ICCAD},
author = {{William K. C. Lam} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society / ACM},
booktitle = {1992 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}
}
@inproceedings{conf/iccad/ShenoyBS92,
title = {Graph algorithms for clock schedule optimization.},
year = {1992},
booktitle = {ICCAD},
author = {{Narendra V. Shenoy} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society / ACM},
booktitle = {1992 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}
}
@inproceedings{conf/iccd/GutwinMB92,
title = {Delay Prediction for Technology-Independent Logic Equations.},
year = {1992},
booktitle = {ICCD},
author = {{Paul T. Gutwin} and {Patrick C. McGeer} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, ICCD '92, Cambridge, MA, USA, October 11-14, 1992}
}
@inproceedings{conf/iccd/LamB92,
title = {On Relationship Between ITE and BDD.},
year = {1992},
booktitle = {ICCD},
author = {{William K. C. Lam} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, ICCD '92, Cambridge, MA, USA, October 11-14, 1992}
}
@inproceedings{conf/iccd/SentovichSMSBS92,
title = {Sequential Circuit Design Using Synthesis and Optimization.},
year = {1992},
booktitle = {ICCD},
author = {{Ellen Sentovich} and {Kanwar Jit Singh} and {Cho W. Moon} and {Hamid Savoj} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, ICCD '92, Cambridge, MA, USA, October 11-14, 1992}
}
@article{journals/tcad/MalikLBS92,
title = {Symbolic minimization of multilevel logic and the input encoding problem.},
year = {1992},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Sharad Malik} and {Luciano Lavagno} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@inproceedings{conf/cav/Brayton93,
title = {Logic Synthesis and Design Verification.},
year = {1993},
booktitle = {CAV},
author = {{Robert K. Brayton}},
publisher = {Springer},
booktitle = {Computer Aided Verification, 5th International Conference, CAV '93, Elounda, Greece, June 28 - July 1, 1993, Proceedings}
}
@inproceedings{conf/cav/HojatiBK93,
title = {BDD-Based Debugging Of Design Using Language Containment and Fair CTL.},
year = {1993},
booktitle = {CAV},
author = {{Ramin Hojati} and {Robert K. Brayton} and {Robert P. Kurshan}},
publisher = {Springer},
booktitle = {Computer Aided Verification, 5th International Conference, CAV '93, Elounda, Greece, June 28 - July 1, 1993, Proceedings}
}
@inproceedings{conf/cav/LamB93,
title = {Alternating RQ Timed Automata.},
year = {1993},
booktitle = {CAV},
author = {{William K. C. Lam} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {Computer Aided Verification, 5th International Conference, CAV '93, Elounda, Greece, June 28 - July 1, 1993, Proceedings}
}
@inproceedings{conf/dac/HojatiSBK93,
title = {A Unified Approach to Language Containment and Fair CTL Model Checking.},
year = {1993},
booktitle = {DAC},
author = {{Ramin Hojati} and {Thomas R. Shiple} and {Robert K. Brayton} and {Robert P. Kurshan}},
publisher = {ACM Press},
booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993.}
}
@inproceedings{conf/dac/LamBS93,
title = {Circuit Delay Models and Their Exact Computation Using Timed Boolean Functions.},
year = {1993},
booktitle = {DAC},
author = {{William K. C. Lam} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {ACM Press},
booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993.}
}
@inproceedings{conf/dac/LamSBS93,
title = {Delay Fault Coverage and Performance Tradeoffs.},
year = {1993},
booktitle = {DAC},
author = {{William K. C. Lam} and {Alexander Saldanha} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {ACM Press},
booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993.}
}
@inproceedings{conf/dac/MatsunagaMB93,
title = {On Computing the Transitive Closure of a State Transition Relation.},
year = {1993},
booktitle = {DAC},
author = {{Yusuke Matsunaga} and {Patrick C. McGeer} and {Robert K. Brayton}},
publisher = {ACM Press},
booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993.}
}
@inproceedings{conf/dac/MoonB93,
title = {Elimination of Dynamic hazards by Factoring.},
year = {1993},
booktitle = {DAC},
author = {{Cho W. Moon} and {Robert K. Brayton}},
publisher = {ACM Press},
booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993.}
}
@inproceedings{conf/dac/MurgaiBS93,
title = {Sequential Synthesis for Table Look Up Programmable Gate Arrays.},
year = {1993},
booktitle = {DAC},
author = {{Rajeev Murgai} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {ACM Press},
booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993.}
}
@inproceedings{conf/dac/ShenoyBS93,
title = {Resynthesis of Multi-Phase Pipelines.},
year = {1993},
booktitle = {DAC},
author = {{Narendra V. Shenoy} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {ACM Press},
booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993.}
}
@inproceedings{conf/eurodac/FeltYBS93,
title = {Dynamic variable reordering for BDD minimization.},
year = {1993},
booktitle = {EURO-DAC},
author = {{Eric Felt} and {Gary York} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the European Design Automation Conference 1993, EURO-DAC '93 with EURO-VHDL'93, Hamburg, Germany, September 20-24, 1993}
}
@inproceedings{conf/iccad/MurgaiBS93,
title = {Cube-packing and two-level minimization.},
year = {1993},
booktitle = {ICCAD},
author = {{Rajeev Murgai} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}
}
@inproceedings{conf/iccad/ShenoyBS93,
title = {Minimum padding to satisfy short path constraints.},
year = {1993},
booktitle = {ICCAD},
author = {{Narendra V. Shenoy} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}
}
@inproceedings{conf/iccad/WangB93,
title = {Input don't care sequences in FSM networks.},
year = {1993},
booktitle = {ICCAD},
author = {{Huey-Yih Wang} and {Robert K. Brayton}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}
}
@inproceedings{conf/iccad/WatanabeB93,
title = {The maximum set of permissible behaviors for FSM networks.},
year = {1993},
booktitle = {ICCAD},
author = {{Yosinori Watanabe} and {Robert K. Brayton}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}
}
@inproceedings{conf/iccd/MurgaiBS93,
title = {Some Results on the Complexity of Boolean Functions for Table Look Up Architectures.},
year = {1993},
booktitle = {ICCD},
author = {{Rajeev Murgai} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, ICCD '93, Cambridge, MA, USA, October 3-6, 1993}
}
@inproceedings{conf/iccd/SinghalWB93,
title = {Heuristic Minimization of Synchronous Relations.},
year = {1993},
booktitle = {ICCD},
author = {{Vigyan Singhal} and {Yosinori Watanabe} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, ICCD '93, Cambridge, MA, USA, October 3-6, 1993}
}
@inproceedings{conf/iccd/StephanB93,
title = {Physically Realizable Gate Models.},
year = {1993},
booktitle = {ICCD},
author = {{Paul R. Stephan} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, ICCD '93, Cambridge, MA, USA, October 3-6, 1993}
}
@inproceedings{conf/iccd/WatanabeGB93,
title = {Logic Optimization with Multi-Output Gates.},
year = {1993},
booktitle = {ICCD},
author = {{Yosinori Watanabe} and {Lisa M. Guerra} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, ICCD '93, Cambridge, MA, USA, October 3-6, 1993}
}
@inproceedings{conf/vlsid/McGeerSBS93,
title = {Minimization of Logic Functions Using Essential Signature Sets.},
year = {1993},
booktitle = {VLSI Design},
author = {{Patrick C. McGeer} and {Jagesh V. Sanghavi} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the Sixth International Conference on VLSI Design, VLSI Design 1993, Bombay, India, January 3-6, 1993}
}
@article{journals/tc/MalikBNS93,
title = {Two-Level Minimization of Multivalued Functions with Large Offsets.},
year = {1993},
journal = {IEEE Trans. Computers},
author = {{Abdul A. Malik} and {Robert K. Brayton} and {A. Richard Newton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@article{journals/tcad/MalikSBS93,
title = {Performance optimization of pipelined logic circuits using peripheral retiming and resynthesis.},
year = {1993},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Sharad Malik} and {Kanwar Jit Singh} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@article{journals/tcad/TouatiB93,
title = {Computing the initial states of retimed circuits.},
year = {1993},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Hervé J. Touati} and {Robert K. Brayton}}
}
@article{journals/tcad/WatanabeB93,
title = {Heuristic minimization of multiple-valued relations.},
year = {1993},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Yosinori Watanabe} and {Robert K. Brayton}}
}
@article{journals/tvlsi/McGeerSBS93,
title = {ESPRESSO-SIGNATURE: a new exact minimizer for logic functions.},
year = {1993},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Patrick C. McGeer} and {Jagesh V. Sanghavi} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@book{books/daglib/0076892,
title = {Timed Boolean functions - a unified formalism for exact timing analysis.},
year = {1994},
isbn = {978-0-7923-9454-9},
publisher = {Kluwer},
series = {The Kluwer international series in engineering and computer science},
author = {{William K. C. Lam} and {Robert K. Brayton}}
}
@inproceedings{conf/cav/HojatiMB94,
title = {Improving Language Containment Using Fairness Graphs.},
year = {1994},
booktitle = {CAV},
author = {{Ramin Hojati} and {Robert B. Mueller-Thuns} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {Computer Aided Verification, 6th International Conference, CAV '94, Stanford, California, USA, June 21-23, 1994, Proceedings}
}
@inproceedings{conf/cav/LamB94,
title = {Criteria for the Simple Path Property in Timed Automata.},
year = {1994},
booktitle = {CAV},
author = {{William K. C. Lam} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {Computer Aided Verification, 6th International Conference, CAV '94, Stanford, California, USA, June 21-23, 1994, Proceedings}
}
@inproceedings{conf/dac/AzizBCHKKRSSTWBS94,
title = {HSIS: A BDD-Based Environment for Formal Verification.},
year = {1994},
booktitle = {DAC},
author = {{Adnan Aziz} and {Felice Balarin} and {Szu-Tsung Cheng} and {Ramin Hojati} and {Timothy Kam} and {Sriram C. Krishnan} and {Rajeev K. Ranjan 001} and {Thomas R. Shiple} and {Vigyan Singhal} and {Serdar Tasiran} and {Huey-Yih Wang} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {ACM Press},
booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994.}
}
@inproceedings{conf/dac/AzizTB94,
title = {BDD Variable Ordering for Interacting Finite State Machines.},
year = {1994},
booktitle = {DAC},
author = {{Adnan Aziz} and {Serdar Tasiran} and {Robert K. Brayton}},
publisher = {ACM Press},
booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994.}
}
@inproceedings{conf/dac/KamVBS94,
title = {A Fully Implicit Algorithm for Exact State Minimization.},
year = {1994},
booktitle = {DAC},
author = {{Timothy Kam} and {Tiziano Villa} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {ACM Press},
booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994.}
}
@inproceedings{conf/dac/LamBS94,
title = {Exact Minimum Cycle Times for Finite State Machines.},
year = {1994},
booktitle = {DAC},
author = {{William K. C. Lam} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {ACM Press},
booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994.}
}
@inproceedings{conf/dac/MurgaiBS94,
title = {Optimum Functional Decomposition Using Encoding.},
year = {1994},
booktitle = {DAC},
author = {{Rajeev Murgai} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {ACM Press},
booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994.}
}
@inproceedings{conf/dac/SaldanhaHMBS94,
title = {Performance Optimization Using Exact Sensitization.},
year = {1994},
booktitle = {DAC},
author = {{Alexander Saldanha} and {Heather Harkness} and {Patrick C. McGeer} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {ACM Press},
booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994.}
}
@inproceedings{conf/dac/ShipleHSB94,
title = {Heuristic Minimization of BDDs Using Don't Cares.},
year = {1994},
booktitle = {DAC},
author = {{Thomas R. Shiple} and {Ramin Hojati} and {Alberto L. Sangiovanni-Vincentelli} and {Robert K. Brayton}},
publisher = {ACM Press},
booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994.}
}
@inproceedings{conf/dac/WangB94,
title = {Permissible Observability Relations in FSM Networks.},
year = {1994},
booktitle = {DAC},
author = {{Huey-Yih Wang} and {Robert K. Brayton}},
publisher = {ACM Press},
booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994.}
}
@inproceedings{conf/eurodac/WatanabeB94,
title = {State Minimization of Pseudo Non-Deterministic FSM's.},
year = {1994},
booktitle = {EDAC-ETC-EUROASIC},
author = {{Yosinori Watanabe} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28 - March 3, 1994, Paris, France}
}
@inproceedings{conf/iccad/KukimotoFB94,
title = {A redesign technique for combinational circuits based on gate reconnections.},
year = {1994},
booktitle = {ICCAD},
author = {{Yuji Kukimoto} and {Masahiro Fujita} and {Robert K. Brayton}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1994, San Jose, California, USA, November 6-10, 1994}
}
@inproceedings{conf/iccad/PixleySAB94,
title = {Multi-level synthesis for safe replaceability.},
year = {1994},
booktitle = {ICCAD},
author = {{Carl Pixley} and {Vigyan Singhal} and {Adnan Aziz} and {Robert K. Brayton}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1994, San Jose, California, USA, November 6-10, 1994}
}
@inproceedings{conf/iccad/SwamyB94,
title = {Incremental formal design verification.},
year = {1994},
booktitle = {ICCAD},
author = {{Gitanjali Swamy} and {Robert K. Brayton}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1994, San Jose, California, USA, November 6-10, 1994}
}
@inproceedings{conf/iccd/AzizSSB94,
title = {Minimizing Interacting Finite State Machines: A Compositional Approach to Language to Containment.},
year = {1994},
booktitle = {ICCD},
author = {{Adnan Aziz} and {Vigyan Singhal} and {Gitanjali Swamy} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, ICCD '94, Cambridge, MA, USA, October 10-12, 1994}
}
@inproceedings{conf/iccd/SentovichB94,
title = {An Exact Optimization of Two-Level Acyclic Sequential Circuits.},
year = {1994},
booktitle = {ICCD},
author = {{Ellen Sentovich} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, ICCD '94, Cambridge, MA, USA, October 10-12, 1994}
}
@inproceedings{conf/isaac/KrishnanPB94,
title = {Deterministic w Automata vis-a-vis Deterministic Buchi Automata.},
year = {1994},
booktitle = {ISAAC},
author = {{Sriram C. Krishnan} and {Anuj Puri} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {Algorithms and Computation, 5th International Symposium, ISAAC '94, Beijing, P. R. China, August 25-27, 1994, Proceedings}
}
@article{journals/tcad/SaldanhaBS94,
title = {Circuit structure relations to redundancy and delay.},
year = {1994},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Alexander Saldanha} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@article{journals/tcad/SaldanhaVBS94,
title = {Satisfaction of input and output encoding constraints.},
year = {1994},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Alexander Saldanha} and {Tiziano Villa} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@article{journals/vlsisp/MoonSB94,
title = {Specification, synthesis, and verification of hazard-free asynchronous circuits.},
year = {1994},
journal = {J. VLSI Signal Process.},
author = {{Cho W. Moon} and {Paul R. Stephan} and {Robert K. Brayton}}
}
@inproceedings{conf/cav/AzizBBDS95,
title = {Supervisory Control of Finite State Machines.},
year = {1995},
booktitle = {CAV},
author = {{Adnan Aziz} and {Felice Balarin} and {Robert K. Brayton} and {Marika Domenica Di Benedetto} and {Alexander Saldanha}},
publisher = {Springer},
booktitle = {Computer Aided Verification, 7th International Conference, Liège, Belgium, July, 3-5, 1995, Proceedings}
}
@inproceedings{conf/cav/HojatiB95,
title = {Automatic Datapath Abstraction In Hardware Systems.},
year = {1995},
booktitle = {CAV},
author = {{Ramin Hojati} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {Computer Aided Verification, 7th International Conference, Liège, Belgium, July, 3-5, 1995, Proceedings}
}
@inproceedings{conf/cav/KrishnanPBV95,
title = {The Rabin Index and Chain Automata, with Applications to Automatas and Games.},
year = {1995},
booktitle = {CAV},
author = {{Sriram C. Krishnan} and {Anuj Puri} and {Robert K. Brayton} and {Pravin Varaiya}},
publisher = {Springer},
booktitle = {Computer Aided Verification, 7th International Conference, Liège, Belgium, July, 3-5, 1995, Proceedings}
}
@inproceedings{conf/charme/TasiranHB95,
title = {Language containment of non-deterministic omega-automata.},
year = {1995},
booktitle = {CHARME},
author = {{Serdar Tasiran} and {Ramin Hojati} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {Correct Hardware Design and Verification Methods, IFIP WG 10.5 Advanced Research Working Conference, CHARME '95, Frankfurt/Main, Germany, October 2-4, 1995, Proceedings}
}
@inproceedings{conf/dac/SinghalPRB95,
title = {The Validity of Retiming Sequential Circuits.},
year = {1995},
booktitle = {DAC},
author = {{Vigyan Singhal} and {Carl Pixley} and {Richard L. Rudell} and {Robert K. Brayton}},
publisher = {ACM Press},
booktitle = {Proceedings of the 32st Conference on Design Automation, San Francisco, California, USA, Moscone Center, June 12-16, 1995.}
}
@inproceedings{conf/date/MurgaiBS95,
title = {Decomposition of logic functions for minimum transition activity.},
year = {1995},
booktitle = {ED&TC},
author = {{Rajeev Murgai} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {1995 European Design and Test Conference, ED&TC 1995, Paris, France, March 6-9, 1995}
}
@inproceedings{conf/eurodac/SinghalPAB95,
title = {Exploiting power-up delay for sequential optimization.},
year = {1995},
booktitle = {EURO-DAC},
author = {{Vigyan Singhal} and {Carl Pixley} and {Adnan Aziz} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings EURO-DAC'95, European Design Automation Conference with EURO-VHDL, Brighton, England, UK, September 18-22, 1995}
}
@inproceedings{conf/iccad/WangB95,
title = {Multi-level logic optimization of FSM networks.},
year = {1995},
booktitle = {ICCAD},
author = {{Huey-Yih Wang} and {Robert K. Brayton}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1995, San Jose, California, USA, November 5-9, 1995}
}
@inproceedings{conf/iccd/KamVBS95,
title = {Implicit state minimization of non-deterministic FSMs.},
year = {1995},
booktitle = {ICCD},
author = {{Timothy Kam} and {Tiziano Villa} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings}
}
@inproceedings{conf/iccd/SwamyBS95,
title = {Incremental methods for FSM traversal.},
year = {1995},
booktitle = {ICCD},
author = {{Gitanjali Swamy} and {Robert K. Brayton} and {Vigyan Singhal}},
publisher = {IEEE Computer Society},
booktitle = {1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings}
}
@inproceedings{conf/iscas/SinghalBP95,
title = {Power-Up Delay for Retiming Digital Circuits.},
year = {1995},
booktitle = {ISCAS},
author = {{Vigyan Singhal} and {Robert K. Brayton} and {Carl Pixley}},
publisher = {IEEE},
booktitle = {1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30 - May 3, 1995}
}
@inproceedings{conf/stacs/KrishnanPB95,
title = {Structural Complexity of Omega-Automata.},
year = {1995},
booktitle = {STACS},
author = {{Sriram C. Krishnan} and {Anuj Puri} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {STACS 95, 12th Annual Symposium on Theoretical Aspects of Computer Science, Munich, Germany, March 2-4, 1995, Proceedings}
}
@inproceedings{conf/vlsid/SaldanhaSBS95,
title = {Functional clock schedule optimization.},
year = {1995},
booktitle = {VLSI Design},
author = {{Alexander Saldanha} and {Narendra V. Shenoy} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India}
}
@article{journals/fmsd/HojatiB95,
title = {An Environment for Formal Verification Based on Symbolic Computations.},
year = {1995},
journal = {Formal Methods Syst. Des.},
author = {{Ramin Hojati} and {Robert K. Brayton}}
}
@article{journals/ieicet/BraytonS95,
title = {Network Hierarchies and Node Minimization.},
year = {1995},
journal = {IEICE Trans. Inf. Syst.},
author = {{Robert K. Brayton} and {Ellen M. Sentovich}}
}
@article{journals/tcad/LamSBS95,
title = {Delay fault coverage, test set size, and performance trade-offs.},
year = {1995},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{William K. C. Lam} and {Alexander Saldanha} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@article{journals/tcad/LavagnoMBS95,
title = {An efficient heuristic procedure for solving the state assignment problem for event-based specifications.},
year = {1995},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Luciano Lavagno} and {Cho W. Moon} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@article{journals/iandc/TouatiBK95,
title = {Testing Language Containment for omega-Automata Using BDD's},
year = {1995},
month = {April},
journal = {Inf. Comput.},
author = {{Hervé J. Touati} and {Robert K. Brayton} and {Robert P. Kurshan}}
}
@inproceedings{conf/cav/AzizSSB96,
title = {Verifying Continuous Time Markov Chains.},
year = {1996},
booktitle = {CAV},
author = {{Adnan Aziz} and {Kumud Sanwal} and {Vigyan Singhal} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {Computer Aided Verification, 8th International Conference, CAV '96, New Brunswick, NJ, USA, July 31 - August 3, 1996, Proceedings}
}
@inproceedings{conf/cav/BraytonHSSACEKKPQRSSSV96,
title = {VIS: A System for Verification and Synthesis.},
year = {1996},
booktitle = {CAV},
author = {{Robert K. Brayton} and {Gary D. Hachtel} and {Alberto L. Sangiovanni-Vincentelli} and {Fabio Somenzi} and {Adnan Aziz} and {Szu-Tsung Cheng} and {Stephen A. Edwards} and {Sunil P. Khatri} and {Yuji Kukimoto} and {Abelardo Pardo} and {Shaz Qadeer} and {Rajeev K. Ranjan 001} and {Shaker Sarwary} and {Thomas R. Shiple} and {Gitanjali Swamy} and {Tiziano Villa}},
publisher = {Springer},
booktitle = {Computer Aided Verification, 8th International Conference, CAV '96, New Brunswick, NJ, USA, July 31 - August 3, 1996, Proceedings}
}
@inproceedings{conf/concur/TasiranAKB96,
title = {Verifying Abstractions of Timed Systems.},
year = {1996},
booktitle = {CONCUR},
author = {{Serdar Tasiran} and {Rajeev Alur} and {Robert P. Kurshan} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {CONCUR '96, Concurrency Theory, 7th International Conference, Pisa, Italy, August 26-29, 1996, Proceedings}
}
@inproceedings{conf/dac/KhatriNKMBS96,
title = {Engineering Change in a Non-Deterministic FSM Setting.},
year = {1996},
booktitle = {DAC},
author = {{Sunil P. Khatri} and {Amit Narayan} and {Sriram C. Krishnan} and {Kenneth L. McMillan} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {ACM Press},
booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996.}
}
@inproceedings{conf/dac/SanghaviRBS96,
title = {High Performance BDD Package By Exploiting Memory Hiercharchy.},
year = {1996},
booktitle = {DAC},
author = {{Jagesh V. Sanghavi} and {Rajeev K. Ranjan 001} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {ACM Press},
booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996.}
}
@inproceedings{conf/eurodac/CabodiCLQBS96,
title = {Incremental re-encoding for symbolic traversal of product machines.},
year = {1996},
booktitle = {EURO-DAC},
author = {{Gianpiero Cabodi} and {Paolo Camurati} and {Luciano Lavagno} and {Stefano Quer} and {Robert K. Brayton} and {Ellen Sentovich}},
publisher = {IEEE Computer Society Press},
booktitle = {Proceedings of the conference on European design automation, EURO-DAC '96/EURO-VHDL '96, Geneva, Switzerland, September 16-20, 1996}
}
@inproceedings{conf/fmcad/BraytonHSSACEKKPQRSSSV96,
title = {VIS.},
year = {1996},
booktitle = {FMCAD},
author = {{Robert K. Brayton} and {Gary D. Hachtel} and {Alberto L. Sangiovanni-Vincentelli} and {Fabio Somenzi} and {Adnan Aziz} and {Szu-Tsung Cheng} and {Stephen A. Edwards} and {Sunil P. Khatri} and {Yuji Kukimoto} and {Abelardo Pardo} and {Shaz Qadeer} and {Rajeev K. Ranjan 001} and {Shaker Sarwary} and {Thomas R. Shiple} and {Gitanjali Swamy} and {Tiziano Villa}},
publisher = {Springer},
booktitle = {Formal Methods in Computer-Aided Design, First International Conference, FMCAD '96, Palo Alto, California, USA, November 6-8, 1996, Proceedings}
}
@inproceedings{conf/fmcad/HojatiIKB96,
title = {Verification Using Uninterpreted Functions and Finite Instantiations.},
year = {1996},
booktitle = {FMCAD},
author = {{Ramin Hojati} and {Adrian J. Isles} and {Desmond Kirkpatrick} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {Formal Methods in Computer-Aided Design, First International Conference, FMCAD '96, Palo Alto, California, USA, November 6-8, 1996, Proceedings}
}
@inproceedings{conf/fmcad/JainNCKSBF96,
title = {Decomposition Techniques for Efficient ROBDD Construction.},
year = {1996},
booktitle = {FMCAD},
author = {{Jawahar Jain} and {Amit Narayan} and {C. Coelho 001} and {Sunil P. Khatri} and {Alberto L. Sangiovanni-Vincentelli} and {Robert K. Brayton} and {Masahiro Fujita}},
publisher = {Springer},
booktitle = {Formal Methods in Computer-Aided Design, First International Conference, FMCAD '96, Palo Alto, California, USA, November 6-8, 1996, Proceedings}
}
@inproceedings{conf/iccad/SinghalMB96,
title = {The case for retiming with explicit reset circuitry.},
year = {1996},
booktitle = {ICCAD},
author = {{Vigyan Singhal} and {Sharad Malik} and {Robert K. Brayton}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1996, San Jose, CA, USA, November 10-14, 1996}
}
@inproceedings{conf/iccd/HojatiKB96,
title = {Early Quantification and Partitioned Transition Relations.},
year = {1996},
booktitle = {ICCD},
author = {{Ramin Hojati} and {Sriram C. Krishnan} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {1996 International Conference on Computer Design (ICCD '96), VLSI in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings}
}
@inproceedings{conf/iccd/QadeerBS96,
title = {Latch Redundancy Removal Without Global Reset.},
year = {1996},
booktitle = {ICCD},
author = {{Shaz Qadeer} and {Robert K. Brayton} and {Vigyan Singhal}},
publisher = {IEEE Computer Society},
booktitle = {1996 International Conference on Computer Design (ICCD '96), VLSI in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings}
}
@inproceedings{conf/iccd/RanjanSBS96,
title = {Binary decision diagrams on network of workstation.},
year = {1996},
booktitle = {ICCD},
author = {{Rajeev K. Ranjan 001} and {Jagesh V. Sanghavi} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {1996 International Conference on Computer Design (ICCD '96), VLSI in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings}
}
@inproceedings{conf/vlsid/NarayanKJFBS96,
title = {A study of composition schemes for mixed apply/compose based construction of ROBDDs.},
year = {1996},
booktitle = {VLSI Design},
author = {{Amit Narayan} and {Sunil P. Khatri} and {Jawahar Jain} and {Masahiro Fujita} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {9th International Conference on VLSI Design (VLSI Design 1996), 3-6 January 1996, Bangalore, India}
}
@article{journals/tcad/LamBS96,
title = {Valid clock frequencies and their computation in wavepipelined circuits.},
year = {1996},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{William K. C. Lam} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@article{journals/tcad/StephanBS96,
title = {Combinational test generation using satisfiability.},
year = {1996},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Paul R. Stephan} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@article{journals/tcad/WatanabeGB96,
title = {Permissible functions for multioutput components in combinational logic optimization.},
year = {1996},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Yosinori Watanabe} and {Lisa M. Guerra} and {Robert K. Brayton}}
}
@inproceedings{conf/cav/TasiranB97,
title = {STARI: A Case Study in Compositional and Hierarchical Timing Verification.},
year = {1997},
booktitle = {CAV},
author = {{Serdar Tasiran} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {Computer Aided Verification, 9th International Conference, CAV '97, Haifa, Israel, June 22-25, 1997, Proceedings}
}
@inproceedings{conf/dac/KukimotoB97,
title = {Exact Required Time Analysis via False Path Detection.},
year = {1997},
booktitle = {DAC},
author = {{Yuji Kukimoto} and {Robert K. Brayton}},
publisher = {ACM Press},
booktitle = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997.}
}
@inproceedings{conf/iccad/GoldbergCVBS97,
title = {Negative thinking by incremental problem solving: application to unate covering.},
year = {1997},
booktitle = {ICCAD},
author = {{Evguenii I. Goldberg} and {Luca P. Carloni} and {Tiziano Villa} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997}
}
@inproceedings{conf/iccad/GoldbergVBS97,
title = {A fast and robust exact algorithm for face embedding.},
year = {1997},
booktitle = {ICCAD},
author = {{Evguenii I. Goldberg} and {Tiziano Villa} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997}
}
@inproceedings{conf/iccad/KukimotoGSB97,
title = {Approximate timing analysis of combinational circuits under the XBD0 model.},
year = {1997},
booktitle = {ICCAD},
author = {{Yuji Kukimoto} and {Wilsin Gosti} and {Alexander Saldanha} and {Robert K. Brayton}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997}
}
@inproceedings{conf/iccad/MehrotraQSBAS97,
title = {Sequential optimisation without state space exploration.},
year = {1997},
booktitle = {ICCAD},
author = {{Amit Mehrotra} and {Shaz Qadeer} and {Vigyan Singhal} and {Robert K. Brayton} and {Adnan Aziz} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997}
}
@inproceedings{conf/iccad/NarayanIJBS97,
title = {Reachability analysis using partitioned-ROBDDs.},
year = {1997},
booktitle = {ICCAD},
author = {{Amit Narayan} and {Adrian J. Isles} and {Jawahar Jain} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society / ACM},
booktitle = {Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997}
}
@inproceedings{conf/iccd/LiZMB97,
title = {Timed Binary Decision Diagrams.},
year = {1997},
booktitle = {ICCD},
author = {{Zhongcheng Li} and {Yuhong Zhao} and {Yinghua Min} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, ICCD '97, Austin, Texas, USA, October 12-15, 1997}
}
@inproceedings{conf/iccd/RanjanGBS97,
title = {Dynamic Reordering in a Breadth-First Manipulation Based BDD Package: Challenges and Solutions.},
year = {1997},
booktitle = {ICCD},
author = {{Rajeev K. Ranjan 001} and {Wilsin Gosti} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, ICCD '97, Austin, Texas, USA, October 12-15, 1997}
}
@inproceedings{conf/itc/LiBM97,
title = {Efficient Identification of Non-Robustly Untestable Path Delay Faults.},
year = {1997},
booktitle = {ITC},
author = {{Zhongcheng Li} and {Robert K. Brayton} and {Yinghua Min}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings IEEE International Test Conference 1997, Washington, DC, USA, November 3-5, 1997}
}
@article{journals/tcad/KamVBS97,
title = {Implicit computation of compatible sets for state minimization of ISFSMs.},
year = {1997},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Timothy Kam} and {Tiziano Villa} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@article{journals/tcad/KamVBS97a,
title = {Theory and algorithms for state minimization of nondeterministic FSMs.},
year = {1997},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Timothy Kam} and {Tiziano Villa} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@article{journals/tcad/VillaKBS97,
title = {Explicit and implicit algorithms for binate covering problems.},
year = {1997},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Tiziano Villa} and {Timothy Kam} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@article{journals/tcad/VillaSBS97,
title = {Symbolic two-level minimization.},
year = {1997},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Tiziano Villa} and {Alexander Saldanha} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@inproceedings{conf/ats/LiMB98,
title = {A New Low-Cost Method for Identifying Untestable Path Delay Faults.},
year = {1998},
booktitle = {Asian Test Symposium},
author = {{Zhongcheng Li} and {Yinghua Min} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {7th Asian Test Symposium (ATS '98), 2-4 December 1998, Singapore}
}
@inproceedings{conf/cav/IslesHB98,
title = {Computing Reachable Control States of Systems Modeled with Uninterpreted Functions and Infinite Memory.},
year = {1998},
booktitle = {CAV},
author = {{Adrian J. Isles} and {Ramin Hojati} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {Computer Aided Verification, 10th International Conference, CAV '98, Vancouver, BC, Canada, June 28 - July 2, 1998, Proceedings}
}
@inproceedings{conf/cav/MankuHB98,
title = {Structural Symmetry and Model Checking.},
year = {1998},
booktitle = {CAV},
author = {{Gurmeet Singh Manku} and {Ramin Hojati} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {Computer Aided Verification, 10th International Conference, CAV '98, Vancouver, BC, Canada, June 28 - July 2, 1998, Proceedings}
}
@inproceedings{conf/dac/KukimotoB98,
title = {Hierarchical Functional Timing Analysis.},
year = {1998},
booktitle = {DAC},
author = {{Yuji Kukimoto} and {Robert K. Brayton}},
publisher = {ACM Press},
booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998.}
}
@inproceedings{conf/dac/KukimotoBS98,
title = {Delay-Optimal Technology Mapping by DAG Covering.},
year = {1998},
booktitle = {DAC},
author = {{Yuji Kukimoto} and {Robert K. Brayton} and {Prashant Sawkar}},
publisher = {ACM Press},
booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998.}
}
@inproceedings{conf/dac/OttenB98,
title = {Planning for Performance.},
year = {1998},
booktitle = {DAC},
author = {{Ralph H. J. M. Otten} and {Robert K. Brayton}},
publisher = {ACM Press},
booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998.}
}
@inproceedings{conf/date/GoldbergKB98,
title = {Combinational Verification based on High-Level Functional Specifications.},
year = {1998},
booktitle = {DATE},
author = {{Evguenii I. Goldberg} and {Yuji Kukimoto} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {1998 Design, Automation and Test in Europe (DATE '98), February 23-26, 1998, Le Palais des Congrès de Paris, Paris, France}
}
@inproceedings{conf/iccad/GostiNBS98,
title = {Wireplanning in logic synthesis.},
year = {1998},
booktitle = {ICCAD},
author = {{Wilsin Gosti} and {Amit Narayan} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {ACM / IEEE Computer Society},
booktitle = {Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1998, San Jose, CA, USA, November 8-12, 1998}
}
@inproceedings{conf/iccad/RanjanSSB98,
title = {On the optimization power of retiming and resynthesis transformations.},
year = {1998},
booktitle = {ICCAD},
author = {{Rajeev K. Ranjan 001} and {Vigyan Singhal} and {Fabio Somenzi} and {Robert K. Brayton}},
publisher = {ACM / IEEE Computer Society},
booktitle = {Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1998, San Jose, CA, USA, November 8-12, 1998}
}
@inproceedings{conf/iccad/SinhaB98,
title = {Implementation and use of SPFDs in optimizing Boolean networks.},
year = {1998},
booktitle = {ICCAD},
author = {{Subarnarekha Sinha} and {Robert K. Brayton}},
publisher = {ACM / IEEE Computer Society},
booktitle = {Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1998, San Jose, CA, USA, November 8-12, 1998}
}
@inproceedings{conf/vlsid/SwamyEB98,
title = {Efficient Verification and Synthesis using Design Commonalities.},
year = {1998},
booktitle = {VLSI Design},
author = {{Gitanjali Swamy} and {Stephen A. Edwards} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {11th International Conference on VLSI Design (VLSI Design 1991), 4-7 January 1998, Chennai, India}
}
@article{journals/tcad/GoldbergVBS98,
title = {Theory and algorithms for face hypercube embedding.},
year = {1998},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Evguenii I. Goldberg} and {Tiziano Villa} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@inproceedings{conf/dac/KhatriMBOS99,
title = {A Novel VLSI Layout Fabric for Deep Sub-Micron Applications.},
year = {1999},
booktitle = {DAC},
author = {{Sunil P. Khatri} and {Amit Mehrotra} and {Robert K. Brayton} and {Ralph H. J. M. Otten} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {ACM Press},
booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999.}
}
@inproceedings{conf/dac/TabbaraBN99,
title = {Retiming for DSM with Area-Delay Trade-Offs and Delay Constraints.},
year = {1999},
booktitle = {DAC},
author = {{Abdallah Tabbara} and {Robert K. Brayton} and {A. Richard Newton}},
publisher = {ACM Press},
booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999.}
}
@inproceedings{conf/date/RanjanSSB99,
title = {Using Combinational Verification for Sequential Circuits.},
year = {1999},
booktitle = {DATE},
author = {{Rajeev K. Ranjan 001} and {Vigyan Singhal} and {Fabio Somenzi} and {Robert K. Brayton}},
publisher = {IEEE Computer Society / ACM},
booktitle = {1999 Design, Automation and Test in Europe (DATE '99), 9-12 March 1999, Munich, Germany}
}
@inproceedings{conf/iccad/KuehlmannMB99,
title = {Probabilistic state space search.},
year = {1999},
booktitle = {ICCAD},
author = {{Andreas Kuehlmann} and {Kenneth L. McMillan} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999}
}
@inproceedings{conf/iccad/KukimotoB99,
title = {Timing-safe false path removal for combinational modules.},
year = {1999},
booktitle = {ICCAD},
author = {{Yuji Kukimoto} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999}
}
@inproceedings{conf/ifip10-5/CarloniGVBS99,
title = {Aura II: Combining Negative Thinking and Branch-and-Bound in Unate Covering Problems.},
year = {1999},
booktitle = {VLSI},
author = {{Luca P. Carloni} and {Evguenii I. Goldberg} and {Tiziano Villa} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {Kluwer},
booktitle = {VLSI: Systems on a Chip, IFIP TC10/WG10.5 Tenth International Conference on Very Large Scale Integration (VLSI '99), December 1-4, 1999, Lisbon, Portugal}
}
@inproceedings{conf/vlsid/BraytonK99,
title = {Multi-Valued Logic Synthesis.},
year = {1999},
booktitle = {VLSI Design},
author = {{Robert K. Brayton} and {Sunil P. Khatri}},
publisher = {IEEE Computer Society},
booktitle = {12th International Conference on VLSI Design (VLSI Design 1999), 10-13 January 1999, Goa, India}
}
@inproceedings{conf/vlsid/KhatriBS99,
title = {Sequential Multi-Valued Network Simplification using Redundancy Removal.},
year = {1999},
booktitle = {VLSI Design},
author = {{Sunil P. Khatri} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {12th International Conference on VLSI Design (VLSI Design 1999), 10-13 January 1999, Goa, India}
}
@article{journals/cjtcs/AzizSBBS99,
title = {Equivalences for Fair Kripke Structures.},
year = {1999},
journal = {Chic. J. Theor. Comput. Sci.},
author = {{Adnan Aziz} and {Felice Balarin} and {Vigyan Singhal} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@inproceedings{conf/dac/JongeneelWBO00,
title = {Area and search space control for technology mapping.},
year = {2000},
booktitle = {DAC},
author = {{Dirk-Jan Jongeneel} and {Yosinori Watanabe} and {Robert K. Brayton} and {Ralph H. J. M. Otten}},
publisher = {ACM},
booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000.}
}
@inproceedings{conf/iccad/JiangB00,
title = {Don't Cares and Multi-Valued Logic Network Minimization.},
year = {2000},
booktitle = {ICCAD},
author = {{Yunjian Jiang} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}
}
@inproceedings{conf/iccad/KhatriBS00,
title = {Cross-Talk Immune VLSI Design Using a Network of PLAs Embedded in a Regular Layout Fabric.},
year = {2000},
booktitle = {ICCAD},
author = {{Sunil P. Khatri} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}
}
@inproceedings{conf/iccad/MoTB00,
title = {A Force-Directed Macro-Cell Placer.},
year = {2000},
booktitle = {ICCAD},
author = {{Fan Mo} and {Abdallah Tabbara} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}
}
@inproceedings{conf/iccd/SinhaKBS00,
title = {Binary and Multi-Valued SPFD-Based Wire Removal in PLA Networks.},
year = {2000},
booktitle = {ICCD},
author = {{Subarnarekha Sinha} and {Sunil P. Khatri} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, ICCD '00, Austin, Texas, USA, September 17-20, 2000}
}
@article{journals/fmsd/QuerCCLSB00,
title = {Verification of Similar FSMs by Mixing Incremental Re-encoding, Reachability Analysis, and Combinational Checks.},
year = {2000},
journal = {Formal Methods Syst. Des.},
author = {{Stefano Quer} and {Gianpiero Cabodi} and {Paolo Camurati} and {Luciano Lavagno} and {Ellen Sentovich} and {Robert K. Brayton}}
}
@article{journals/integration/OttenB00,
title = {Performance planning.},
year = {2000},
journal = {Integr.},
author = {{Ralph H. J. M. Otten} and {Robert K. Brayton}}
}
@article{journals/integration/TabbaraTBN00,
title = {Integration of retiming with architectural floorplanning.},
year = {2000},
journal = {Integr.},
author = {{Abdallah Tabbara} and {Bassam Tabbara} and {Robert K. Brayton} and {A. Richard Newton}}
}
@article{journals/tcad/AzizBBS00,
title = {Sequential synthesis using S1S.},
year = {2000},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Adnan Aziz} and {Felice Balarin} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@article{journals/tcad/GoldbergCVBS00,
title = {Negative thinking in branch-and-bound: the case of unate covering.},
year = {2000},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Evguenii I. Goldberg} and {Luca P. Carloni} and {Tiziano Villa} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@article{journals/tocl/AzizSSB00,
title = {Model-checking continous-time Markov chains.},
year = {2000},
journal = {ACM Trans. Comput. Log.},
author = {{Adnan Aziz} and {Kumud Sanwal} and {Vigyan Singhal} and {Robert K. Brayton}}
}
@inproceedings{conf/codes/JiangB01,
title = {Logic optimization and code generation for embedded control applications.},
year = {2001},
booktitle = {CODES},
author = {{Yunjian Jiang} and {Robert K. Brayton}},
publisher = {ACM},
booktitle = {Proceedings of the Ninth International Symposium on Hardware/Software Codesign, CODES 2001, Copenhagen, Denmark, 2001}
}
@inproceedings{conf/date/GoldbergPB01,
title = {Using SAT for combinational equivalence checking.},
year = {2001},
booktitle = {DATE},
author = {{Evguenii I. Goldberg} and {Mukul R. Prasad} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2001, Munich, Germany, March 12-16, 2001}
}
@inproceedings{conf/iccad/Brayton01,
title = {Compatible Observability Don't Cares Revisited.},
year = {2001},
booktitle = {ICCAD},
author = {{Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2001, San Jose, CA, USA, November 4-8, 2001}
}
@inproceedings{conf/iccad/MoTB01,
title = {A Force-Directed Maze Router.},
year = {2001},
booktitle = {ICCAD},
author = {{Fan Mo} and {Abdallah Tabbara} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2001, San Jose, CA, USA, November 4-8, 2001}
}
@inproceedings{conf/iccad/SinhaKB01,
title = {Sequential SPFDs.},
year = {2001},
booktitle = {ICCAD},
author = {{Subarnarekha Sinha} and {Andreas Kuehlmann} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2001, San Jose, CA, USA, November 4-8, 2001}
}
@inproceedings{conf/iccad/YevtushenkoVBPS01,
title = {Solution of Parallel Language Equations for Logic Synthesis.},
year = {2001},
booktitle = {ICCAD},
author = {{Nina Yevtushenko 001} and {Tiziano Villa} and {Robert K. Brayton} and {Alexandre Petrenko} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2001, San Jose, CA, USA, November 4-8, 2001}
}
@inproceedings{conf/iccd/MoTB01,
title = {A Timing-Driven Macro-Cell Placement Algorithm.},
year = {2001},
booktitle = {ICCD},
author = {{Fan Mo} and {Abdallah Tabbara} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {19th International Conference on Computer Design (ICCD 2001), VLSI in Computers and Processors, 23-26 September 2001, Austin, TX, USA, Proceedings}
}
@article{journals/fmsd/AlurBHQR01,
title = {Partial-Order Reduction in Symbolic State-Space Exploration.},
year = {2001},
journal = {Formal Methods Syst. Des.},
author = {{Rajeev Alur} and {Robert K. Brayton} and {Thomas A. Henzinger} and {Shaz Qadeer} and {Sriram K. Rajamani}}
}
@article{journals/tcad/SinghalPAB01,
title = {Theory of safe replacements for sequential circuits.},
year = {2001},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Vigyan Singhal} and {Carl Pixley} and {Adnan Aziz} and {Robert K. Brayton}}
}
@inproceedings{conf/codes/BaleaniGJPBS02,
title = {HW/SW partitioning and code generation of embedded control applications on a reconfigurable architecture platform.},
year = {2002},
booktitle = {CODES},
author = {{Massimo Baleani} and {Frank Gennari} and {Yunjian Jiang} and {Yatish Patel} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {ACM},
booktitle = {Proceedings of the Tenth International Symposium on Hardware/Software Codesign, CODES 2002, Estes Park, Colorado, USA, May 6-8, 2002}
}
@inproceedings{conf/dac/JiangB02,
title = {Software synthesis from synchronous specifications using logic simulation techniques.},
year = {2002},
booktitle = {DAC},
author = {{Yunjian Jiang} and {Robert K. Brayton}},
publisher = {ACM},
booktitle = {Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002}
}
@inproceedings{conf/dac/MoB02,
title = {River PLAs: a regular circuit structure.},
year = {2002},
booktitle = {DAC},
author = {{Fan Mo} and {Robert K. Brayton}},
publisher = {ACM},
booktitle = {Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002}
}
@inproceedings{conf/date/GoldbergPB02,
title = {Using Problem Symmetry in Search Based Satisfiability Algorithms.},
year = {2002},
booktitle = {DATE},
author = {{Evguenii I. Goldberg} and {Mukul R. Prasad} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France}
}
@inproceedings{conf/iccad/MishchenkoB02,
title = {Simplification of non-deterministic multi-valued networks.},
year = {2002},
booktitle = {ICCAD},
author = {{Alan Mishchenko} and {Robert K. Brayton}},
publisher = {ACM / IEEE Computer Society},
booktitle = {Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002}
}
@inproceedings{conf/iccad/MoB02,
title = {Whirlpool PLAs: a regular logic structure and their synthesis.},
year = {2002},
booktitle = {ICCAD},
author = {{Fan Mo} and {Robert K. Brayton}},
publisher = {ACM / IEEE Computer Society},
booktitle = {Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002}
}
@inproceedings{conf/iccad/SinhaMB02,
title = {Topologically constrained logic synthesis.},
year = {2002},
booktitle = {ICCAD},
author = {{Subarnarekha Sinha} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {ACM / IEEE Computer Society},
booktitle = {Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002}
}
@inproceedings{conf/ismvl/BraytonGJJLMSV02,
title = {Optimization of Multi-Valued Multi-Level Networks.},
year = {2002},
booktitle = {ISMVL},
author = {{Robert K. Brayton} and {M. Gao} and {Jie-Hong Roland Jiang} and {Yunjian Jiang} and {Yinghua Li} and {Alan Mishchenko} and {Subarnarekha Sinha} and {Tiziano Villa}},
publisher = {IEEE Computer Society},
booktitle = {32nd IEEE International Symposium on Multiple-Valued Logic (ISMVL 2002), May 15-18, 2002, Boston, Massachusetts, USA}
}
@inproceedings{conf/iwls/JiangB02a,
title = {Don't Care Computation in Minimizing Extended Finite State Machines with Presburger Arithmetic.},
year = {2002},
booktitle = {IWLS},
author = {{Yunjian Jiang} and {Robert K. Brayton}},
booktitle = {11th IEEE/ACM International Workshop on Logic & Synthesis, IWLS 2002, June 4-7, 2002, New Orleans, Louisiana, USA.}
}
@inproceedings{conf/iwls/MishchenkoB02,
title = {A Boolean Paradigm in Multi-Valued Logic Synthesis.},
year = {2002},
booktitle = {IWLS},
author = {{Alan Mishchenko} and {Robert K. Brayton}},
booktitle = {11th IEEE/ACM International Workshop on Logic & Synthesis, IWLS 2002, June 4-7, 2002, New Orleans, Louisiana, USA.}
}
@inproceedings{conf/iwls/MoB02,
title = {Regular Fabrics in Deep Sub-Micron Integrated-Circuit Design.},
year = {2002},
booktitle = {IWLS},
author = {{Fan Mo} and {Robert K. Brayton}},
booktitle = {11th IEEE/ACM International Workshop on Logic & Synthesis, IWLS 2002, June 4-7, 2002, New Orleans, Louisiana, USA.}
}
@article{journals/fmsd/AzizSSBS02,
title = {Formula-Dependent Equivalence for Compositional CTL Model Checking.},
year = {2002},
journal = {Formal Methods Syst. Des.},
author = {{Adnan Aziz} and {Thomas R. Shiple} and {Vigyan Singhal} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@inproceedings{conf/aspdac/JiangB03,
title = {Don't cares in logic minimization of extended finite state machines.},
year = {2003},
booktitle = {ASP-DAC},
author = {{Yunjian Jiang} and {Robert K. Brayton}},
publisher = {ACM},
booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, ASP-DAC '03, Kitakyushu, Japan, January 21-24, 2003}
}
@inproceedings{conf/dac/JiangMB03,
title = {Generalized cofactoring for logic function evaluation.},
year = {2003},
booktitle = {DAC},
author = {{Yunjian Jiang} and {Slobodan Matic} and {Robert K. Brayton}},
publisher = {ACM},
booktitle = {Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003}
}
@inproceedings{conf/date/JiangMB03,
title = {Reducing Multi-Valued Algebraic Operations to Binary.},
year = {2003},
booktitle = {DATE},
author = {{Jie-Hong Roland Jiang} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany}
}
@inproceedings{conf/date/YevtushenkoVBPS03,
title = {Equisolvability of Series vs. Controller's Topology in Synchronous Language Equations.},
year = {2003},
booktitle = {DATE},
author = {{Nina Yevtushenko 001} and {Tiziano Villa} and {Robert K. Brayton} and {Alexandre Petrenko} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {IEEE Computer Society},
booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany}
}
@inproceedings{conf/iccad/MishchenkoB03,
title = {A Theory of Non-Deterministic Networks.},
year = {2003},
booktitle = {ICCAD},
author = {{Alan Mishchenko} and {Robert K. Brayton}},
publisher = {IEEE Computer Society / ACM},
booktitle = {2003 International Conference on Computer-Aided Design, ICCAD 2003, San Jose, CA, USA, November 9-13, 2003}
}
@inproceedings{conf/ispd/MoB03,
title = {Fishbone: a block-level placement and routing scheme.},
year = {2003},
booktitle = {ISPD},
author = {{Fan Mo} and {Robert K. Brayton}},
publisher = {ACM},
booktitle = {Proceedings of the 2003 International Symposium on Physical Design, ISPD 2003, Monterey, CA, USA, April 6-9, 2003}
}
@article{journals/tcad/JiangB03,
title = {On the verification of sequential equivalence.},
year = {2003},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Jie-Hong Roland Jiang} and {Robert K. Brayton}}
}
@article{journals/tcad/MoB03,
title = {PLA-based regular structures and their synthesis.},
year = {2003},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Fan Mo} and {Robert K. Brayton}}
}
@article{journals/todaes/SinghalPAQB03,
title = {Sequential optimization in the absence of global reset.},
year = {2003},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Vigyan Singhal} and {Carl Pixley} and {Adnan Aziz} and {Shaz Qadeer} and {Robert K. Brayton}}
}
@inproceedings{conf/cav/JiangB04,
title = {Functional Dependency for Verification Reduction.},
year = {2004},
booktitle = {CAV},
author = {{Jie-Hong Roland Jiang} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {Computer Aided Verification, 16th International Conference, CAV 2004, Boston, MA, USA, July 13-17, 2004, Proceedings}
}
@inproceedings{conf/dac/MoB04,
title = {A timing-driven module-based chip design flow.},
year = {2004},
booktitle = {DAC},
author = {{Fan Mo} and {Robert K. Brayton}},
publisher = {ACM},
booktitle = {Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004}
}
@inproceedings{conf/iccad/ChatterjeeB04,
title = {A new incremental placement algorithm and its application to congestion-aware divisor extraction.},
year = {2004},
booktitle = {ICCAD},
author = {{Satrajit Chatterjee} and {Robert K. Brayton}},
publisher = {IEEE Computer Society / ACM},
booktitle = {2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004}
}
@inproceedings{conf/iccad/JiangMB04,
title = {On breakable cyclic definitions.},
year = {2004},
booktitle = {ICCAD},
author = {{Jie-Hong Roland Jiang} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {IEEE Computer Society / ACM},
booktitle = {2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004}
}
@article{journals/tcad/KhatriSBS04,
title = {SPFD-based wire removal in standard-cell and network-of-PLA circuits.},
year = {2004},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Sunil P. Khatri} and {Subarnarekha Sinha} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}}
}
@inproceedings{conf/acsd/LiKB05,
title = {Gaining Predictability and Noise Immunity in Global Interconnects.},
year = {2005},
booktitle = {ACSD},
author = {{Yinghua Li} and {Alex Kondratyev} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Fifth International Conference on Application of Concurrency to System Design (ACSD 2005), 6-9 June 2005, St. Malo, France}
}
@inproceedings{conf/date/MishchenkoB05,
title = {SAT-Based Complete Don't-Care Computation for Network Optimization.},
year = {2005},
booktitle = {DATE},
author = {{Alan Mishchenko} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany}
}
@inproceedings{conf/date/MishchenkoBJVY05,
title = {Efficient Solution of Language Equations Using Partitioned Representations.},
year = {2005},
booktitle = {DATE},
author = {{Alan Mishchenko} and {Robert K. Brayton} and {Jie-Hong Roland Jiang} and {Tiziano Villa} and {Nina Yevtushenko 001}},
publisher = {IEEE Computer Society},
booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany}
}
@inproceedings{conf/iccad/LiKB05,
title = {Synthesis methodology for built-in at-speed testing.},
year = {2005},
booktitle = {ICCAD},
author = {{Yinghua Li} and {Alex Kondratyev} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {2005 International Conference on Computer-Aided Design, ICCAD 2005, San Jose, CA, USA, November 6-10, 2005}
}
@inproceedings{conf/dac/MishchenkoCB06,
title = {DAG-aware AIG rewriting a fresh look at combinational logic synthesis.},
year = {2006},
booktitle = {DAC},
author = {{Alan Mishchenko} and {Satrajit Chatterjee} and {Robert K. Brayton}},
publisher = {ACM},
booktitle = {Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006}
}
@inproceedings{conf/dac/ZhangMBC06,
title = {Symmetry detection for large Boolean functions using circuit representation, simulation, and satisfiability.},
year = {2006},
booktitle = {DAC},
author = {{Jin S. Zhang} and {Alan Mishchenko} and {Robert K. Brayton} and {Malgorzata Chrzanowska-Jeske}},
publisher = {ACM},
booktitle = {Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006}
}
@inproceedings{conf/iccad/ChatterjeeMB06,
title = {Factor cuts.},
year = {2006},
booktitle = {ICCAD},
author = {{Satrajit Chatterjee} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {ACM},
booktitle = {2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006}
}
@inproceedings{conf/iccad/MishchenkoCBE06,
title = {Improvements to combinational equivalence checking.},
year = {2006},
booktitle = {ICCAD},
author = {{Alan Mishchenko} and {Satrajit Chatterjee} and {Robert K. Brayton} and {Niklas Eén}},
publisher = {ACM},
booktitle = {2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006}
}
@article{journals/tcad/ChatterjeeMBWK06,
title = {Reducing Structural Bias in Technology Mapping.},
year = {2006},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Satrajit Chatterjee} and {Alan Mishchenko} and {Robert K. Brayton} and {Xinning Wang} and {Timothy Kam}}
}
@article{journals/tcad/JiangB06a,
title = {Retiming and Resynthesis: A Complexity Perspective.},
year = {2006},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Jie-Hong Roland Jiang} and {Robert K. Brayton}}
}
@article{journals/tcad/MishchenkoB06,
title = {A theory of nondeterministic networks.},
year = {2006},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Alan Mishchenko} and {Robert K. Brayton}}
}
@article{journals/tcad/MishchenkoZSBBC06,
title = {Using simulation and satisfiability to compute flexibilities in Boolean networks.},
year = {2006},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Alan Mishchenko} and {Jin S. Zhang} and {Subarnarekha Sinha} and {Jerry R. Burch} and {Robert K. Brayton} and {Malgorzata Chrzanowska-Jeske}}
}
@inproceedings{conf/aspdac/YangSVB07,
title = {Automating Logic Rectification by Approximate SPFDs.},
year = {2007},
booktitle = {ASP-DAC},
author = {{Yu-Shen Yang} and {Subarnarekha Sinha} and {Andreas G. Veneris} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007}
}
@inproceedings{conf/dac/ChatterjeeMBK07,
title = {On Resolution Proofs for Combinational Equivalence.},
year = {2007},
booktitle = {DAC},
author = {{Satrajit Chatterjee} and {Alan Mishchenko} and {Robert K. Brayton} and {Andreas Kuehlmann}},
publisher = {IEEE},
booktitle = {Proceedings of the 44th Design Automation Conference, DAC 2007, San Diego, CA, USA, June 4-8, 2007}
}
@inproceedings{conf/fmcad/CaseMB07,
title = {Automated Extraction of Inductive Invariants to Aid Model Checking.},
year = {2007},
booktitle = {FMCAD},
author = {{Michael L. Case} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Formal Methods in Computer-Aided Design, 7th International Conference, FMCAD 2007, Austin, Texas, USA, November 11-14, 2007, Proceedings}
}
@inproceedings{conf/fmcad/HurstMB07,
title = {Fast Minimum-Register Retiming via Binary Maximum-Flow.},
year = {2007},
booktitle = {FMCAD},
author = {{Aaron P. Hurst} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {Formal Methods in Computer-Aided Design, 7th International Conference, FMCAD 2007, Austin, Texas, USA, November 11-14, 2007, Proceedings}
}
@inproceedings{conf/glvlsi/VillaZYBS07,
title = {A new algorithm for the largest compositionally progressive solution of synchronous language equations.},
year = {2007},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Tiziano Villa} and {Svetlana Zharikova} and {Nina Yevtushenko 001} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli}},
publisher = {ACM},
booktitle = {Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007}
}
@inproceedings{conf/iccad/MishchenkoCCB07,
title = {Combinational and sequential mapping with priority cuts.},
year = {2007},
booktitle = {ICCAD},
author = {{Alan Mishchenko} and {Sungmin Cho} and {Satrajit Chatterjee} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007}
}
@inproceedings{conf/iccad/MoB07,
title = {A simultaneous bus orientation and bused pin flipping algorithm.},
year = {2007},
booktitle = {ICCAD},
author = {{Fan Mo} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007}
}
@inproceedings{conf/ispd/MoB07,
title = {Semi-detailed bus routing with variation reduction.},
year = {2007},
booktitle = {ISPD},
author = {{Fan Mo} and {Robert K. Brayton}},
publisher = {ACM},
booktitle = {Proceedings of the 2007 International Symposium on Physical Design, ISPD 2007, Austin, Texas, USA, March 18-21, 2007}
}
@article{journals/tcad/MishchenkoCB07,
title = {Improvements to Technology Mapping for LUT-Based FPGAs.},
year = {2007},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Alan Mishchenko} and {Satrajit Chatterjee} and {Robert K. Brayton}}
}
@inproceedings{conf/dac/CaseKMB08,
title = {Merging nodes under sequential observability.},
year = {2008},
booktitle = {DAC},
author = {{Michael L. Case} and {Victor N. Kravets} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {ACM},
booktitle = {Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008}
}
@inproceedings{conf/dac/HurstMB08,
title = {Scalable min-register retiming under timing and initializability constraints.},
year = {2008},
booktitle = {DAC},
author = {{Aaron P. Hurst} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {ACM},
booktitle = {Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008}
}
@inproceedings{conf/fmcad/CaseMBBM08,
title = {Invariant-Strengthened Elimination of Dependent State Elements.},
year = {2008},
booktitle = {FMCAD},
author = {{Michael L. Case} and {Alan Mishchenko} and {Robert K. Brayton} and {Jason Baumgartner} and {Hari Mony}},
publisher = {IEEE},
booktitle = {Formal Methods in Computer-Aided Design, FMCAD 2008, Portland, Oregon, USA, 17-20 November 2008}
}
@inproceedings{conf/fmcad/MishchenkoB08,
title = {Recording Synthesis History for Sequential Verification.},
year = {2008},
booktitle = {FMCAD},
author = {{Alan Mishchenko} and {Robert K. Brayton}},
publisher = {IEEE},
booktitle = {Formal Methods in Computer-Aided Design, FMCAD 2008, Portland, Oregon, USA, 17-20 November 2008}
}
@inproceedings{conf/iccad/MishchenkoBC08,
title = {Boolean factoring and decomposition of logic networks.},
year = {2008},
booktitle = {ICCAD},
author = {{Alan Mishchenko} and {Robert K. Brayton} and {Satrajit Chatterjee}},
publisher = {IEEE Computer Society},
booktitle = {2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008}
}
@inproceedings{conf/iccad/MishchenkoCBJ08,
title = {Scalable and scalably-verifiable sequential synthesis.},
year = {2008},
booktitle = {ICCAD},
author = {{Alan Mishchenko} and {Michael L. Case} and {Robert K. Brayton} and {Stephen Jang}},
publisher = {IEEE Computer Society},
booktitle = {2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008}
}
@inproceedings{conf/iccad/MoB08,
title = {Placement based multiplier rewiring for cell-based designs.},
year = {2008},
booktitle = {ICCAD},
author = {{Fan Mo} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008}
}
@article{journals/deds/YevtushenkoVBPS08,
title = {Compositionally Progressive Solutions of Synchronous FSM Equations.},
year = {2008},
journal = {Discret. Event Dyn. Syst.},
author = {{Nina Yevtushenko 001} and {Tiziano Villa} and {Robert K. Brayton} and {Alexandre Petrenko} and {Alberto L. Sangiovanni-Vincentelli}}
}
@inproceedings{conf/date/MonyBMB09,
title = {Speculative reduction-based scalable redundancy identification.},
year = {2009},
booktitle = {DATE},
author = {{Hari Mony} and {Jason Baumgartner} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {IEEE},
booktitle = {Design, Automation and Test in Europe, DATE 2009, Nice, France, April 20-24, 2009}
}
@inproceedings{conf/date/YangSVBS09,
title = {Sequential logic rectifications with approximate SPFDs.},
year = {2009},
booktitle = {DATE},
author = {{Yu-Shen Yang} and {Subarna Sinha} and {Andreas G. Veneris} and {Robert K. Brayton} and {Duncan Exon Smith}},
publisher = {IEEE},
booktitle = {Design, Automation and Test in Europe, DATE 2009, Nice, France, April 20-24, 2009}
}
@inproceedings{conf/fpga/JangWJCCMB09,
title = {SmartOpt: an industrial strength framework for logic synthesis.},
year = {2009},
booktitle = {FPGA},
author = {{Stephen Jang} and {Dennis Wu} and {Mark Jarvin} and {Billy Chan} and {Kevin Chung} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {ACM},
booktitle = {Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, FPGA 2009, Monterey, California, USA, February 22-24, 2009}
}
@incollection{books/cu/10/VillaBSCH10,
title = {Synthesis of Multilevel Boolean Networks.},
year = {2010},
booktitle = {Boolean Models and Methods},
author = {{Tiziano Villa} and {Robert K. Brayton} and {Alberto L. Sangiovanni-Vincentelli} and {Yves Crama} and {Peter L. Hammer}},
publisher = {Cambridge University Press},
booktitle = {Boolean Models and Methods in Mathematics, Computer Science, and Engineering}
}
@inproceedings{conf/cav/BraytonM10,
title = {ABC: An Academic Industrial-Strength Verification Tool.},
year = {2010},
booktitle = {CAV},
author = {{Robert K. Brayton} and {Alan Mishchenko}},
publisher = {Springer},
booktitle = {Computer Aided Verification, 22nd International Conference, CAV 2010, Edinburgh, UK, July 15-19, 2010. Proceedings}
}
@inproceedings{conf/fmcad/SavojBMB10,
title = {Combinational techniques for sequential equivalence checking.},
year = {2010},
booktitle = {FMCAD},
author = {{Hamid Savoj} and {David Berthelot} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {IEEE},
booktitle = {Proceedings of 10th International Conference on Formal Methods in Computer-Aided Design, FMCAD 2010, Lugano, Switzerland, October 20-23}
}
@inproceedings{conf/fpga/MishchenkoBJ10,
title = {Global delay optimization using structural choices.},
year = {2010},
booktitle = {FPGA},
author = {{Alan Mishchenko} and {Robert K. Brayton} and {Stephen Jang}},
publisher = {ACM},
booktitle = {Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, FPGA 2010, Monterey, California, USA, February 21-23, 2010}
}
@article{journals/dt/BraytonC10,
title = {NSF Workshop on EDA: Past, Present, and Future (Part 1).},
year = {2010},
journal = {IEEE Des. Test Comput.},
author = {{Robert K. Brayton} and {Jason Cong}}
}
@article{journals/dt/BraytonC10a,
title = {NSF Workshop on EDA: Past, Present, and Future (Part 2).},
year = {2010},
journal = {IEEE Des. Test Comput.},
author = {{Robert K. Brayton} and {Jason Cong}}
}
@inproceedings{conf/fmcad/EenMB11,
title = {Efficient implementation of property directed reachability.},
year = {2011},
booktitle = {FMCAD},
author = {{Niklas Eén} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {FMCAD Inc.},
booktitle = {International Conference on Formal Methods in Computer-Aided Design, FMCAD '11, Austin, TX, USA, October 30 - November 02, 2011}
}
@inproceedings{conf/fmcad/LongRSMB11,
title = {Enhancing ABC for stabilization verification of SystemVerilog/VHDL models.},
year = {2011},
booktitle = {DIFTS@FMCAD},
author = {{Jiang Long} and {Sayak Ray} and {Baruch Sterin} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {CEUR-WS.org},
booktitle = {Proceedings of the First International Workshop on Design and Implementation of Formal Tools and Systems, Austin, USA, November 3, 2011}
}
@inproceedings{conf/iccad/MishchenkoBJK11,
title = {Delay optimization using SOP balancing.},
year = {2011},
booktitle = {ICCAD},
author = {{Alan Mishchenko} and {Robert K. Brayton} and {Stephen Jang} and {Victor N. Kravets}},
publisher = {IEEE Computer Society},
booktitle = {2011 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2011, San Jose, California, USA, November 7-10, 2011}
}
@article{journals/tcad/YangSVB11,
title = {Automating Logic Transformations With Approximate SPFDs.},
year = {2011},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Yu-Shen Yang} and {Subarna Sinha} and {Andreas G. Veneris} and {Robert K. Brayton}}
}
@article{journals/trets/MishchenkoBJJ11,
title = {Scalable don't-care-based logic optimization and resynthesis.},
year = {2011},
journal = {ACM Trans. Reconfigurable Technol. Syst.},
author = {{Alan Mishchenko} and {Robert K. Brayton} and {Jie-Hong R. Jiang} and {Stephen Jang}}
}
@inproceedings{conf/date/RayB12,
title = {Scalable progress verification in credit-based flow-control systems.},
year = {2012},
booktitle = {DATE},
author = {{Sayak Ray} and {Robert K. Brayton}},
publisher = {IEEE},
booktitle = {2012 Design, Automation & Test in Europe Conference & Exhibition, DATE 2012, Dresden, Germany, March 12-16, 2012}
}
@inproceedings{conf/date/RayMEBJC12,
title = {Mapping into LUT structures.},
year = {2012},
booktitle = {DATE},
author = {{Sayak Ray} and {Alan Mishchenko} and {Niklas Eén} and {Robert K. Brayton} and {Stephen Jang} and {Chao Chen}},
publisher = {IEEE},
booktitle = {2012 Design, Automation & Test in Europe Conference & Exhibition, DATE 2012, Dresden, Germany, March 12-16, 2012}
}
@inproceedings{conf/date/MishchenkoEBBMN13,
title = {GLA: gate-level abstraction revisited.},
year = {2013},
booktitle = {DATE},
author = {{Alan Mishchenko} and {Niklas Eén} and {Robert K. Brayton} and {Jason Baumgartner} and {Hari Mony} and {Pradeep Kumar Nalla}},
publisher = {EDA Consortium San Jose, CA, USA / ACM DL},
booktitle = {Design, Automation and Test in Europe, DATE 13, Grenoble, France, March 18-22, 2013}
}
@inproceedings{conf/date/MishchenkoEBCCS13,
title = {A semi-canonical form for sequential AIGs.},
year = {2013},
booktitle = {DATE},
author = {{Alan Mishchenko} and {Niklas Eén} and {Robert K. Brayton} and {Michael L. Case} and {Pankaj Chauhan} and {Nikhil Sharma}},
publisher = {EDA Consortium San Jose, CA, USA / ACM DL},
booktitle = {Design, Automation and Test in Europe, DATE 13, Grenoble, France, March 18-22, 2013}
}
@inproceedings{conf/fmcad/LongBC13,
title = {LEC: Learning Driven Data-path Equivalence Checking.},
year = {2013},
booktitle = {DIFTS@FMCAD},
author = {{Jiang Long} and {Robert K. Brayton} and {Michael L. Case}},
publisher = {CEUR-WS.org},
booktitle = {Proceedings of the Second International Workshop on Design and Implementation of Formal Tools and Systems, Portland, OR, USA, October 19, 2013.}
}
@inproceedings{conf/memocode/RayB13,
title = {Ranking structure in communication fabrics.},
year = {2013},
booktitle = {MEMOCODE},
author = {{Sayak Ray} and {Robert K. Brayton}},
publisher = {IEEE},
booktitle = {11th ACM/IEEE International Conference on Formal Methods and Models for Codesign, MEMCODE 2013, Portland, OR, USA, October 18-20, 2013}
}
@inproceedings{conf/aspdac/KarthikRNMBR14,
title = {ABCD-NL: Approximating Continuous non-linear dynamical systems using purely Boolean models for analog/mixed-signal verification.},
year = {2014},
booktitle = {ASP-DAC},
author = {{Aadithya V. Karthik} and {Sayak Ray} and {Pierluigi Nuzzo} and {Alan Mishchenko} and {Robert K. Brayton} and {Jaijeet Roychowdhury}},
publisher = {IEEE},
booktitle = {19th Asia and South Pacific Design Automation Conference, ASP-DAC 2014, Singapore, January 20-23, 2014}
}
@inproceedings{conf/bcb/KarthikSRSMBR14,
title = {NINJA: boolean modelling and formal verification of tiered-rate chemical reaction networks (extended abstract).},
year = {2014},
booktitle = {BCB},
author = {{Aadithya V. Karthik} and {David Soloveichik} and {Sayak Ray} and {Baruch Sterin} and {Alan Mishchenko} and {Robert K. Brayton} and {Jaijeet Roychowdhury}},
publisher = {ACM},
booktitle = {Proceedings of the 5th ACM Conference on Bioinformatics, Computational Biology, and Health Informatics, BCB '14, Newport Beach, California, USA, September 20-23, 2014}
}
@article{journals/tcad/SavojMB14,
title = {Sequential Equivalence Checking for Clock-Gated Circuits.},
year = {2014},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Hamid Savoj} and {Alan Mishchenko} and {Robert K. Brayton}}
}
@inproceedings{conf/dac/DaiKB15,
title = {Sequential equivalence checking of clock-gated circuits.},
year = {2015},
booktitle = {DAC},
author = {{Yu-Yun Dai} and {Kei-Yong Khoo} and {Robert K. Brayton}},
publisher = {ACM},
booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}
}
@inproceedings{conf/dsd/BernasconiBCTV15,
title = {Bi-Decomposition Using Boolean Relations.},
year = {2015},
booktitle = {DSD},
author = {{Anna Bernasconi 001} and {Robert K. Brayton} and {Valentina Ciriani} and {Gabriella Trucco} and {Tiziano Villa}},
publisher = {IEEE Computer Society},
booktitle = {2015 Euromicro Conference on Digital System Design, DSD 2015, Madeira, Portugal, August 26-28, 2015}
}
@inproceedings{conf/fmcad/SoekenSDB15,
title = {Simulation Graphs for Reverse Engineering.},
year = {2015},
booktitle = {FMCAD},
author = {{Mathias Soeken} and {Baruch Sterin} and {Rolf Drechsler} and {Robert K. Brayton}},
publisher = {IEEE},
booktitle = {Formal Methods in Computer-Aided Design, FMCAD 2015, Austin, Texas, USA, September 27-30, 2015.}
}
@inproceedings{conf/fpga/MishchenkoBFG15,
title = {Technology Mapping into General Programmable Cells.},
year = {2015},
booktitle = {FPGA},
author = {{Alan Mishchenko} and {Robert K. Brayton} and {Wenyi Feng} and {Jonathan W. Greene}},
publisher = {ACM},
booktitle = {Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Monterey, CA, USA, February 22-24, 2015}
}
@inproceedings{conf/sefm/CastagnettiPVYB15,
title = {Automated Synthesis of Protocol Converters with BALM-II.},
year = {2015},
booktitle = {SEFM Workshops},
author = {{Giovanni Castagnetti} and {Matteo Piccolo} and {Tiziano Villa} and {Nina Yevtushenko 001} and {Robert K. Brayton} and {Alan Mishchenko}},
publisher = {Springer},
booktitle = {Software Engineering and Formal Methods - SEFM 2015 Collocated Workshops: ATSE, HOFM, MoKMaSD, and VERY*SCART, York, UK, September 7-8, 2015, Revised Selected Papers}
}
@article{journals/pieee/BraytonCSV15,
title = {Design Automation of Electronic Systems: Past Accomplishments and Challenges Ahead [Scanning the Issue].},
year = {2015},
journal = {Proc. IEEE},
author = {{Robert K. Brayton} and {Luca P. Carloni} and {Alberto L. Sangiovanni-Vincentelli} and {Tiziano Villa}}
}
@article{journals/pieee/VillaPYMB15,
title = {Component-Based Design by Solving Language Equations.},
year = {2015},
journal = {Proc. IEEE},
author = {{Tiziano Villa} and {Alexandre Petrenko} and {Nina Yevtushenko 001} and {Alan Mishchenko} and {Robert K. Brayton}}
}
@inproceedings{conf/fmcad/HoCRMB16,
title = {Efficient uninterpreted function abstraction and refinement for word-level model checking.},
year = {2016},
booktitle = {FMCAD},
author = {{Yen-Sheng Ho} and {Pankaj Chauhan} and {Pritam Roy} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {IEEE},
booktitle = {2016 Formal Methods in Computer-Aided Design, FMCAD 2016, Mountain View, CA, USA, October 3-6, 2016}
}
@inproceedings{conf/iccad/PetkovskaMSMBI16,
title = {Fast generation of lexicographic satisfiable assignments: enabling canonicity in SAT-based applications.},
year = {2016},
booktitle = {ICCAD},
author = {{Ana Petkovska} and {Alan Mishchenko} and {Mathias Soeken} and {Giovanni De Micheli} and {Robert K. Brayton} and {Paolo Ienne}},
publisher = {ACM},
booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, ICCAD 2016, Austin, TX, USA, November 7-10, 2016}
}
@inproceedings{conf/sat/BalabanovJSMB16,
title = {2QBF: Challenges and Solutions.},
year = {2016},
booktitle = {SAT},
author = {{Valeriy Balabanov} and {Jie-Hong Roland Jiang} and {Christoph Scholl 001} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {Theory and Applications of Satisfiability Testing - SAT 2016 - 19th International Conference, Bordeaux, France, July 5-8, 2016, Proceedings}
}
@inproceedings{conf/sat/SoekenMPSIBM16,
title = {Heuristic NPN Classification for Large Functions Using AIGs and LEXSAT.},
year = {2016},
booktitle = {SAT},
author = {{Mathias Soeken} and {Alan Mishchenko} and {Ana Petkovska} and {Baruch Sterin} and {Paolo Ienne} and {Robert K. Brayton} and {Giovanni De Micheli}},
publisher = {Springer},
booktitle = {Theory and Applications of Satisfiability Testing - SAT 2016 - 19th International Conference, Bordeaux, France, July 5-8, 2016, Proceedings}
}
@article{journals/tcad/SavojMB16,
title = {m-Inductive Property of Sequential Circuits.},
year = {2016},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Hamid Savoj} and {Alan Mishchenko} and {Robert K. Brayton}}
}
@inproceedings{conf/aspdac/SchmittMKBR17,
title = {Fast-extract with cube hashing.},
year = {2017},
booktitle = {ASP-DAC},
author = {{Bruno de O. Schmitt} and {Alan Mishchenko} and {Victor N. Kravets} and {Robert K. Brayton} and {André Inácio Reis}},
publisher = {IEEE},
booktitle = {22nd Asia and South Pacific Design Automation Conference, ASP-DAC 2017, Chiba, Japan, January 16-19, 2017}
}
@inproceedings{conf/fmcad/HoMB17,
title = {Property directed reachability with word-level abstraction.},
year = {2017},
booktitle = {FMCAD},
author = {{Yen-Sheng Ho} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {IEEE},
booktitle = {2017 Formal Methods in Computer Aided Design, FMCAD 2017, Vienna, Austria, October 2-6, 2017}
}
@inproceedings{conf/host/DaiB17,
title = {Circuit recognition with deep learning.},
year = {2017},
booktitle = {HOST},
author = {{Yu-Yun Dai} and {Robert K. Brayton}},
publisher = {IEEE Computer Society},
booktitle = {2017 IEEE International Symposium on Hardware Oriented Security and Trust, HOST 2017, McLean, VA, USA, May 1-5, 2017}
}
@inproceedings{conf/iccad/AmaruSVLMGOBM17,
title = {Enabling exact delay synthesis.},
year = {2017},
booktitle = {ICCAD},
author = {{Luca Gaetano Amarù} and {Mathias Soeken} and {Patrick Vuillod} and {Jiong Luo} and {Alan Mishchenko} and {Pierre-Emmanuel Gaillardon} and {Janet Olson} and {Robert K. Brayton} and {Giovanni De Micheli}},
publisher = {IEEE},
booktitle = {2017 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2017, Irvine, CA, USA, November 13-16, 2017}
}
@incollection{books/sp/18/DaiB18,
title = {Identifying Transparent Logic in Gate-Level Circuits.},
year = {2018},
booktitle = {Advanced Logic Synthesis},
author = {{Yu-Yun Dai} and {Robert K. Brayton}},
publisher = {Springer},
booktitle = {Advanced Logic Synthesis}
}
@inproceedings{conf/aspdac/SchmittMB18,
title = {SAT-based area recovery in structural technology mapping.},
year = {2018},
booktitle = {ASP-DAC},
author = {{Bruno de O. Schmitt} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {IEEE},
booktitle = {23rd Asia and South Pacific Design Automation Conference, ASP-DAC 2018, Jeju, Korea (South), January 22-25, 2018}
}
@inproceedings{conf/dac/DaoLCLJMB18,
title = {Efficient computation of ECO patch functions.},
year = {2018},
booktitle = {DAC},
author = {{Ai Quoc Dao} and {Nian-Ze Lee} and {Li-Cheng Chen} and {Mark Po-Hung Lin} and {Jie-Hong R. Jiang} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {ACM},
booktitle = {Proceedings of the 55th Annual Design Automation Conference, DAC 2018, San Francisco, CA, USA, June 24-29, 2018}
}
@inproceedings{conf/dac/MishchenkoBPSAD18,
title = {Canonical computation without canonical representation.},
year = {2018},
booktitle = {DAC},
author = {{Alan Mishchenko} and {Robert K. Brayton} and {Ana Petkovska} and {Mathias Soeken} and {Luca G. Amarù} and {Antun Domic}},
publisher = {ACM},
booktitle = {Proceedings of the 55th Annual Design Automation Conference, DAC 2018, San Francisco, CA, USA, June 24-29, 2018}
}
@inproceedings{conf/date/AmaruSVLMOBM18,
title = {Improvements to boolean resynthesis.},
year = {2018},
booktitle = {DATE},
author = {{Luca Gaetano Amarù} and {Mathias Soeken} and {Patrick Vuillod} and {Jiong Luo} and {Alan Mishchenko} and {Janet Olson} and {Robert K. Brayton} and {Giovanni De Micheli}},
publisher = {IEEE},
booktitle = {2018 Design, Automation & Test in Europe Conference & Exhibition, DATE 2018, Dresden, Germany, March 19-23, 2018}
}
@inproceedings{conf/date/SoekenHTMABM18,
title = {Practical exact synthesis.},
year = {2018},
booktitle = {DATE},
author = {{Mathias Soeken} and {Winston Haaswijk} and {Eleonora Testa} and {Alan Mishchenko} and {Luca Gaetano Amarù} and {Robert K. Brayton} and {Giovanni De Micheli}},
publisher = {IEEE},
booktitle = {2018 Design, Automation & Test in Europe Conference & Exhibition, DATE 2018, Dresden, Germany, March 19-23, 2018}
}
@article{journals/tcad/DaiB19,
title = {Verification and Synthesis of Clock-Gated Circuits.},
year = {2019},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Yu-Yun Dai} and {Robert K. Brayton}}
}
@article{journals/corr/abs-2007-02579,
title = {Simulation-Guided Boolean Resubstitution.},
year = {2020},
journal = {CoRR},
author = {{Siang-Yun Lee} and {Heinz Riener} and {Alan Mishchenko} and {Robert K. Brayton} and {Giovanni De Micheli}}
}
@inproceedings{conf/dac/ZhangJAMB21,
title = {Deep Integration of Circuit Simulator and SAT Solver.},
year = {2021},
booktitle = {DAC},
author = {{He-Teng Zhang} and {Jie-Hong R. Jiang} and {Luca G. Amarù} and {Alan Mishchenko} and {Robert K. Brayton}},
publisher = {IEEE},
booktitle = {58th ACM/IEEE Design Automation Conference, DAC 2021, San Francisco, CA, USA, December 5-9, 2021}
}
@article{journals/tcad/LeeRMBM22,
title = {A Simulation-Guided Paradigm for Logic Synthesis and Verification.},
year = {2022},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Siang-Yun Lee} and {Heinz Riener} and {Alan Mishchenko} and {Robert K. Brayton} and {Giovanni De Micheli}}
}