% csauthors.net - beta - BibTeX bibliography of Ru Huang
@article{journals/chinaf/HuangBZW01,
title = {Quasi-two-dimensional subthreshold current model of deep submicrometer SOI drive-in gate controlled hybrid transistors with lateral non-uniform doping profile.},
year = {2001},
journal = {Sci. China Ser. F Inf. Sci.},
author = {{Ru Huang} and {Weihai Bu} and {Xing Zhang 002} and {Yangyuan Wang}}
}
@article{journals/mr/HeZHW01,
title = {Extraction of the lateral distribution of interface traps in MOSFETs by a novel combined gated-diode technique.},
year = {2001},
journal = {Microelectron. Reliab.},
author = {{Jin He 003} and {Xing Zhang 002} and {Ru Huang} and {Yangyuan Wang}}
}
@article{journals/mr/HeZHW02,
title = {Application of forward gated-diode R-G current method in extracting F-N stress-induced interface traps in SOI NMOSFETs.},
year = {2002},
journal = {Microelectron. Reliab.},
author = {{Jin He 003} and {Xing Zhang 002} and {Ru Huang} and {Yangyuan Wang}}
}
@article{journals/mr/HuangWHYZW03,
title = {Hot carrier degradation behavior in SOI dynamic-threshold-voltage nMOSFET's (n-DTMOSFET) measured by gated-diode configuration.},
year = {2003},
journal = {Microelectron. Reliab.},
author = {{Ru Huang} and {Jinyan Wang} and {Jin He 003} and {Min Yu} and {Xing Zhang 002} and {Yangyuan Wang}}
}
@article{journals/chinaf/HuangZCWZ08,
title = {Novel vertical channel double gate structures for high density and low power flash memory applications.},
year = {2008},
journal = {Sci. China Ser. F Inf. Sci.},
author = {{Ru Huang} and {FaLong Zhou} and {Yimao Cai} and {DaKe Wu} and {Xing Zhang 002}}
}
@article{journals/chinaf/WangZLH08,
title = {Novel devices and process for 32 nm CMOS technology and beyond.},
year = {2008},
journal = {Sci. China Ser. F Inf. Sci.},
author = {{Yangyuan Wang} and {Xing Zhang 002} and {Xiaoyan Liu} and {Ru Huang}}
}
@inproceedings{conf/esscirc/YeLSCS0LHZXLW09,
title = {A single-chip CMOS UHF RFID Reader transceiver for mobile applications.},
year = {2009},
booktitle = {ESSCIRC},
author = {{Le Ye} and {Huailin Liao} and {Fei Song} and {Jiang Chen} and {Congyin Shi} and {Chen Li 014} and {Junhua Liu} and {Ru Huang} and {Jinshu Zhao} and {Huiling Xiao} and {Ruiqiang Liu} and {Xinan Wang}},
publisher = {IEEE},
booktitle = {35th European Solid-State Circuits Conference, ESSCIRC 2009, Athens, Greece, 14-18 September 2009.}
}
@article{journals/chinaf/HuangWKXSATWZZW09,
title = {Challenges of 22 nm and beyond CMOS technology.},
year = {2009},
journal = {Sci. China Ser. F Inf. Sci.},
author = {{Ru Huang} and {HanMing Wu} and {Jinfeng Kang} and {DeYuan Xiao} and {XueLong Shi} and {Xia An} and {Yu Tian} and {Runsheng Wang} and {Liangliang Zhang} and {Xing Zhang 002} and {Yangyuan Wang}}
}
@inproceedings{conf/icmlc/HuangX10,
title = {The design of energy-saving filtering mechanism for sensor networks.},
year = {2010},
booktitle = {ICMLC},
author = {{Ru Huang} and {Guang-Hui Xu}},
publisher = {IEEE},
booktitle = {International Conference on Machine Learning and Cybernetics, ICMLC 2010, Qingdao, China, July 11-14, 2010, Proceedings}
}
@article{journals/jssc/YeLSCLZLWSLHW10,
title = {A Single-Chip CMOS UHF RFID Reader Transceiver for Chinese Mobile Applications.},
year = {2010},
journal = {IEEE J. Solid State Circuits},
author = {{Le Ye} and {Huailin Liao} and {Fei Song} and {Jiang Chen} and {Chen Li 014} and {Jinshu Zhao} and {Ruiqiang Liu} and {Chuan Wang} and {Congyin Shi} and {Junhua Liu} and {Ru Huang} and {Yangyuan Wang}}
}
@article{journals/mr/WangWHPXWFW10,
title = {Deteriorated radiation effects impact on the characteristics of MOS transistors with multi-finger configuration.},
year = {2010},
journal = {Microelectron. Reliab.},
author = {{Jian Wang} and {Wenhua Wang} and {Ru Huang} and {Yunpeng Pei} and {Shoubin Xue} and {Xin'an Wang} and {Chunhui Fan} and {Yangyuan Wang}}
}
@inproceedings{conf/cicc/HuangWZLYZHAZLFLW11,
title = {Characterization and analysis of gate-all-around Si nanowire transistors for extreme scaling.},
year = {2011},
booktitle = {CICC},
author = {{Ru Huang} and {Runsheng Wang} and {Jing Zhuge} and {Changze Liu} and {Tao Yu} and {Liangliang Zhang} and {Xin Huang} and {Yujie Ai} and {Jinbin Zou} and {Yuchao Liu} and {Jiewen Fan} and {Huailin Liao} and {Yangyuan Wang}},
publisher = {IEEE},
booktitle = {2011 IEEE Custom Integrated Circuits Conference, CICC 2011, San Jose, CA, USA, Sept. 19-21, 2011}
}
@inproceedings{conf/esscirc/LiuLCXWLH11,
title = {An ultra-low power 400MHz OOK transceiver for medical implanted applications.},
year = {2011},
booktitle = {ESSCIRC},
author = {{Junhua Liu} and {Chen Li 014} and {Long Chen 009} and {Yehui Xiao} and {Jiayi Wang} and {Huailin Liao} and {Ru Huang}},
publisher = {IEEE},
booktitle = {Proceedings of the 37th European Solid-State Circuits Conference, ESSCIRC 2011, Helsinki, Finland, Sept. 12-16, 2011}
}
@inproceedings{conf/iscas/YeSLH11,
title = {A 0.47mW 6th-order 20MHz active filter using highly power-efficient Opamp.},
year = {2011},
booktitle = {ISCAS},
author = {{Le Ye} and {Congyin Shi} and {Huailin Liao} and {Ru Huang}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2011), May 15-19 2011, Rio de Janeiro, Brazil}
}
@article{journals/chinaf/ChenLCHZCCGLWa11,
title = {Resistance switching for RRAM applications.},
year = {2011},
journal = {Sci. China Inf. Sci.},
author = {{Frederick T. Chen} and {Heng-Yuan Lee} and {Yu-Sheng Chen} and {Yenya Hsu} and {Lijie Zhang} and {Pang-Shiu Chen} and {Weisu Chen} and {Peiyi Gu} and {Wenhsing Liu} and {Sumin Wang} and {Chen-Han Tsai} and {Shyh-Shyuan Sheu} and {Ming-Jinn Tsai} and {Ru Huang}}
}
@article{journals/chinaf/HuangZWC11,
title = {Editor's note.},
year = {2011},
journal = {Sci. China Inf. Sci.},
author = {{Ru Huang} and {Bin Zhao} and {Runsheng Wang} and {Yimao Cai}}
}
@article{journals/mr/HuangWLZZTZLW11,
title = {HCI and NBTI induced degradation in gate-all-around silicon nanowire transistors.},
year = {2011},
journal = {Microelectron. Reliab.},
author = {{Ru Huang} and {Runsheng Wang} and {Changze Liu} and {Liangliang Zhang} and {Jing Zhuge} and {Yu Tao} and {Jinbin Zou} and {Yuchao Liu} and {Yangyuan Wang}}
}
@inproceedings{conf/iscas/ChenWLYLH12,
title = {A +21.2 dBm out-of-band IIP3 0.2-3GHz RF front-end using impedance translation technique.},
year = {2012},
booktitle = {ISCAS},
author = {{Long Chen 009} and {Chuan Wang} and {Chen Li 014} and {Le Ye} and {Huailin Liao} and {Ru Huang}},
publisher = {IEEE},
booktitle = {2012 IEEE International Symposium on Circuits and Systems, ISCAS 2012, Seoul, Korea (South), May 20-23, 2012}
}
@inproceedings{conf/iscas/WangYLH12,
title = {Cost-efficient CMOS RF tunable bandpass filter with active inductor-less biquads.},
year = {2012},
booktitle = {ISCAS},
author = {{Yixiao Wang} and {Le Ye} and {Huailin Liao} and {Ru Huang}},
publisher = {IEEE},
booktitle = {2012 IEEE International Symposium on Circuits and Systems, ISCAS 2012, Seoul, Korea (South), May 20-23, 2012}
}
@inproceedings{conf/iscas/YeWCLH12,
title = {Widely reconfigurable 8th-order chebyshev analog baseband IC with proposed push-pull op-amp for Software-Defined Radio in 65nm CMOS.},
year = {2012},
booktitle = {ISCAS},
author = {{Le Ye} and {Yixiao Wang} and {Long Chen 009} and {Huailin Liao} and {Ru Huang}},
publisher = {IEEE},
booktitle = {2012 IEEE International Symposium on Circuits and Systems, ISCAS 2012, Seoul, Korea (South), May 20-23, 2012}
}
@inproceedings{conf/isqed/HuangZWLLH12,
title = {Self-heating effects in gate-all-around silicon nanowire MOSFETs: Modeling and analysis.},
year = {2012},
booktitle = {ISQED},
author = {{Xin Huang} and {Tianwei Zhang 002} and {Runsheng Wang} and {Changze Liu} and {Yuchao Liu} and {Ru Huang}},
publisher = {IEEE},
booktitle = {Thirteenth International Symposium on Quality Electronic Design, ISQED 2012, Santa Clara, CA, USA, March 19-21, 2012}
}
@article{journals/chinaf/HuZWHWZ12,
title = {Theory and verification of operator design methodology.},
year = {2012},
journal = {Sci. China Inf. Sci.},
author = {{Ziyi Hu} and {Yong Zhao 010} and {Xin'an Wang} and {Ru Huang} and {Teng Wang} and {Xing Zhang 002}}
}
@article{journals/chinaf/LiuWSWYH12,
title = {A novel compact low-power direct conversion receiver for mobile UHF RFID reader.},
year = {2012},
journal = {Sci. China Inf. Sci.},
author = {{Shan Liu} and {Xin'an Wang} and {Jinpeng Shen} and {Bo Wang 016} and {Tao Ye} and {Ru Huang}}
}
@inproceedings{conf/iscas/ZhengYCLH13,
title = {SAW-less GNSS front-end amplifier with 80.4-dB GSM blocker suppression using CMOS directional coupler notch filter.},
year = {2013},
booktitle = {ISCAS},
author = {{Yongan Zheng} and {Le Ye} and {Long Chen 009} and {Huailin Liao} and {Ru Huang}},
publisher = {IEEE},
booktitle = {2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}
}
@inproceedings{conf/islped/WangMZDWSLLZLFYZRLHW13,
title = {Heterogeneous integration of nano enabling devices for 3D ICs.},
year = {2013},
booktitle = {ISLPED},
author = {{Li Wang 058} and {Rui Ma 003} and {Chen Zhang 017} and {Zongyu Dong} and {Xin Wang 031} and {Zitao Shi} and {Jian Liu 027} and {Lin Lin 011} and {Hui Zhao 014} and {Fei Lu 004} and {Qiang Fang} and {Chen Yang} and {Jing Zhan} and {Tianling Ren} and {Xinxin Li} and {Ru Huang} and {Albert Z. Wang}},
publisher = {IEEE},
booktitle = {International Symposium on Low Power Electronics and Design (ISLPED), Beijing, China, September 4-6, 2013}
}
@article{journals/chinaf/LiuLCSWWWLYLH13,
title = {A 65 mW fully integrated UHF-band CMMB tuner in 65 nm CMOS process.},
year = {2013},
journal = {Sci. China Inf. Sci.},
author = {{Junhua Liu} and {Chen Li 014} and {Long Chen 009} and {Congyin Shi} and {Xuankai Weng} and {Yixiao Wang} and {Jiayi Wang} and {Yu Liao} and {Le Ye} and {Huailin Liao} and {Ru Huang}}
}
@article{journals/chinaf/WangYHW13,
title = {Impacts of short-channel effects on the random threshold voltage variation in nanoscale transistors.},
year = {2013},
journal = {Sci. China Inf. Sci.},
author = {{Runsheng Wang} and {Tao Yu} and {Ru Huang} and {Yangyuan Wang}}
}
@article{journals/chinaf/ZhanHHJW13,
title = {A comb-gate silicon tunneling field effect transistor with improved on-state current.},
year = {2013},
journal = {Sci. China Inf. Sci.},
author = {{Zhan Zhan} and {Qianqian Huang} and {Ru Huang} and {Wenzhe Jiang} and {Yangyuan Wang}}
}
@article{journals/tcas/YeSLHW13,
title = {Highly Power-Efficient Active-RC Filters With Wide Bandwidth-Range Using Low-Gain Push-Pull Opamps.},
year = {2013},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Le Ye} and {Congyin Shi} and {Huailin Liao} and {Ru Huang} and {Yangyuan Wang}}
}
@inproceedings{conf/iscas/HuangCLBKW14,
title = {Resistive switching in organic memory devices for flexible applications.},
year = {2014},
booktitle = {ISCAS},
author = {{Ru Huang} and {Yimao Cai} and {Yefan Liu} and {Wenliang Bai} and {Yongbian Kuang} and {Yangyuan Wang}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systemss, ISCAS 2014, Melbourne, Victoria, Australia, June 1-5, 2014}
}
@article{journals/chinaf/LiuWSWYH14,
title = {A novel low-noise high-linearity CMOS transmitter for mobile UHF RFID reader.},
year = {2014},
journal = {Sci. China Inf. Sci.},
author = {{Shan Liu} and {Xin'an Wang} and {Jinpeng Shen} and {Bo Wang 016} and {Tao Ye} and {Ru Huang}}
}
@article{journals/corr/GaoYHP14,
title = {Self-Aligned Double Patterning Friendly Configuration for Standard Cell Library Considering Placement.},
year = {2014},
journal = {CoRR},
author = {{Jhih-Rong Gao} and {Bei Yu 001} and {Ru Huang} and {David Z. Pan}}
}
@inproceedings{conf/irps/SutariaRMFWHC15,
title = {Duty cycle shift under static/dynamic aging in 28nm HK-MG technology.},
year = {2015},
booktitle = {IRPS},
author = {{Ketul B. Sutaria} and {Pengpeng Ren} and {Abinash Mohanty} and {Xixiang Feng} and {Runsheng Wang} and {Ru Huang} and {Yu Cao 001}},
publisher = {IEEE},
booktitle = {IEEE International Reliability Physics Symposium, IRPS 2015, Monterey, CA, USA, April 19-23, 2015}
}
@inproceedings{conf/nvmts/FangCWYYH15,
title = {Influence of selector-introduced compliance current on HfOx RRAM switching operation.},
year = {2015},
booktitle = {NVMTS},
author = {{Yichen Fang} and {Yimao Cai} and {Zongwei Wang} and {Zhizhen Yu} and {Xue Yang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {15th Non-Volatile Memory Technology Symposium, NVMTS 2015, Beijing, China, October 12-14, 2015}
}
@inproceedings{conf/nvmts/YangCZYH15,
title = {An electronic synapse based on tantalum oxide material.},
year = {2015},
booktitle = {NVMTS},
author = {{Xue Yang} and {Yimao Cai} and {Zhenxing Zhang} and {Muxi Yu} and {Ru Huang}},
publisher = {IEEE},
booktitle = {15th Non-Volatile Memory Technology Symposium, NVMTS 2015, Beijing, China, October 12-14, 2015}
}
@article{journals/chinaf/LinALYLLLZH15,
title = {Ge surface passivation by GeO2 fabricated by N2O plasma oxidation.},
year = {2015},
journal = {Sci. China Inf. Sci.},
author = {{Meng Lin} and {Xia An} and {Ming Li} and {Quanxin Yun} and {Min Li} and {Zhiqiang Li} and {Pengqiang Liu} and {Xing Zhang 002} and {Ru Huang}}
}
@article{journals/chinaf/WangWWHH15,
title = {Analytical current model of tunneling field-effect transistor considering the impacts of both gate and drain voltages on tunneling.},
year = {2015},
journal = {Sci. China Inf. Sci.},
author = {{Chao Wang} and {Chunlei Wu} and {Jiaxin Wang} and {Qianqian Huang} and {Ru Huang}}
}
@article{journals/ijdsn/HuangCZH15,
title = {Energy-Efficient Monitoring in Software Defined Wireless Sensor Networks Using Reinforcement Learning: A Prototype.},
year = {2015},
journal = {Int. J. Distributed Sens. Networks},
author = {{Ru Huang} and {Xiaoli Chu} and {Jie Zhang 003} and {Yu Hen Hu}}
}
@article{journals/tcas/WangYLHW15,
title = {Highly Reconfigurable Analog Baseband for Multistandard Wireless Receivers in 65-nm CMOS.},
year = {2015},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Yixiao Wang} and {Le Ye} and {Huailin Liao} and {Ru Huang} and {Yangyuan Wang}}
}
@inproceedings{conf/3dic/HuangNWYWCH16,
title = {A 3D multi-layer CMOS-RRAM accelerator for neural network.},
year = {2016},
booktitle = {3DIC},
author = {{Hantao Huang} and {Leibin Ni} and {Yuhao Wang 002} and {Hao Yu 001} and {Zongwei Wang} and {Yimao Cai} and {Ru Huang}},
publisher = {IEEE},
booktitle = {2016 IEEE International 3D Systems Integration Conference, 3DIC 2016, San Francisco, CA, USA, November 8-11, 2016}
}
@inproceedings{conf/icicdt/RenWH16,
title = {Layout dependent BTI and HCI degradation in nano CMOS technology: A new time-dependent LDE and impacts on circuit at end of life.},
year = {2016},
booktitle = {ICICDT},
author = {{Pengpeng Ren} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {International Conference on IC Design and Technology, ICICDT 2016, Ho Chi Minh, Vietnam, June 27-29, 2016}
}
@article{journals/chinaf/HuangICDW16,
title = {Editor's note.},
year = {2016},
journal = {Sci. China Inf. Sci.},
author = {{Ru Huang} and {Hiroshi Iwai} and {Cor Claeys} and {Simon Deleonibus} and {Runsheng Wang}}
}
@article{journals/coap/LiLH16,
title = {An efficient method for solving a matrix least squares problem over a matrix inequality constraint.},
year = {2016},
journal = {Comput. Optim. Appl.},
author = {{Jiao-Fen Li} and {Wen Li} and {Ru Huang}}
}
@inproceedings{conf/asicon/DuanZYCXYH17,
title = {Switching dynamics and computing applications of memristors: An overview.},
year = {2017},
booktitle = {ASICON},
author = {{Qingxi Duan} and {Teng Zhang} and {Minghui Yin} and {Caidie Cheng} and {Liying Xu} and {Yuchao Yang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {12th IEEE International Conference on ASIC, ASICON 2017, Guiyang, China, October 25-28, 2017}
}
@inproceedings{conf/asicon/LvCYYFWWLZH17,
title = {A neural network circuit with associative learning and forgetting process based on memristor neuromorphic device.},
year = {2017},
booktitle = {ASICON},
author = {{Xiaoqiang Lv} and {Yimao Cai} and {Yuchao Yang} and {Zhizhen Yu} and {Yichen Fang} and {Zongwei Wang} and {Lindong Wu} and {Jianfeng Liu} and {Wanrong Zhang 002} and {Ru Huang}},
publisher = {IEEE},
booktitle = {12th IEEE International Conference on ASIC, ASICON 2017, Guiyang, China, October 25-28, 2017}
}
@inproceedings{conf/asicon/WangJGH17,
title = {How close to the CMOS voltage scaling limit for FinFET technology? - Near-threshold computing and stochastic computing.},
year = {2017},
booktitle = {ASICON},
author = {{Runsheng Wang} and {Xiaobo Jiang} and {Shaofeng Guo} and {Ru Huang}},
publisher = {IEEE},
booktitle = {12th IEEE International Conference on ASIC, ASICON 2017, Guiyang, China, October 25-28, 2017}
}
@inproceedings{conf/cicc/HanJSWWCZXDWXPZ17,
title = {A 0.13μm 64Mb HfOx ReRAM using configurable ramped voltage write and low read-disturb sensing techniques for reliability improvement.},
year = {2017},
booktitle = {CICC},
author = {{Xiaowei Han} and {Qian Jia} and {Hongbin Sun 001} and {Longfei Wang} and {Huaqiang Wu} and {Yimao Cai} and {Feng Zhang 014} and {Yongyi Xie} and {Fangxu Dong} and {Xiaoguang Wang 015} and {Xiaofei Xue} and {Li Pang} and {Xiaoqing Zhao} and {Mengnan Wu} and {Pu Bai} and {Qi Liu 010} and {Hangbing Lv} and {Bing Yu} and {Chao Zhao} and {He Qian} and {Ru Huang} and {Ming Liu 022} and {Yumei Zhou} and {Nanning Zheng 001} and {Qiwei Ren}},
publisher = {IEEE},
booktitle = {2017 IEEE Custom Integrated Circuits Conference, CICC 2017, Austin, TX, USA, April 30 - May 3, 2017}
}
@inproceedings{conf/iccad/GuoWYHRWLHGCXH17,
title = {Towards reliability-aware circuit design in nanoscale FinFET technology: - New-generation aging model and circuit reliability simulator.},
year = {2017},
booktitle = {ICCAD},
author = {{Shaofeng Guo} and {Runsheng Wang} and {Zhuoqing Yu} and {Peng Hao} and {Pengpeng Ren} and {Yangyuan Wang} and {Siyu Liao} and {Chunyi Huang} and {Tianlei Guo} and {Alvin Chen} and {Jushan Xie} and {Ru Huang}},
publisher = {IEEE},
booktitle = {2017 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2017, Irvine, CA, USA, November 13-16, 2017}
}
@inproceedings{conf/iscas/GuoYCHYLAH17,
title = {Benchmarking TFET from a circuit level perspective: Applications and guideline.},
year = {2017},
booktitle = {ISCAS},
author = {{Lingyi Guo} and {Le Ye} and {Cheng Chen} and {Qianqian Huang} and {Libo Yang} and {Zhu Lv} and {Xia An} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2017, Baltimore, MD, USA, May 28-31, 2017}
}
@inproceedings{conf/iscas/ZhangWZH17,
title = {Compact digital-controlled neuromorphic circuit with low power consumption.},
year = {2017},
booktitle = {ISCAS},
author = {{Jin Zhang} and {Yuan Wang 001} and {Xing Zhang 002} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2017, Baltimore, MD, USA, May 28-31, 2017}
}
@article{journals/ijdsn/HuangCZH17,
title = {Scale-free topology optimization for software-defined wireless sensor networks: A cyber-physical system.},
year = {2017},
journal = {Int. J. Distributed Sens. Networks},
author = {{Ru Huang} and {Xiaoli Chu} and {Jie Zhang 003} and {Yu Hen Hu}}
}
@inproceedings{conf/aspdac/HsuGLXLWHP18,
title = {Layout-dependent aging mitigation for critical path timing.},
year = {2018},
booktitle = {ASP-DAC},
author = {{Che-Lun Hsu} and {Shaofeng Guo} and {Yibo Lin} and {Xiaoqing Xu} and {Meng Li 004} and {Runsheng Wang} and {Ru Huang} and {David Z. Pan}},
publisher = {IEEE},
booktitle = {23rd Asia and South Pacific Design Automation Conference, ASP-DAC 2018, Jeju, Korea (South), January 22-25, 2018}
}
@inproceedings{conf/biocas/LiWZCH18,
title = {A Compact and Accelerated Spike-based Neuromorphic VLSI Chip for Pattern Recognition.},
year = {2018},
booktitle = {BioCAS},
author = {{Cheng Li} and {Yuan Wang 001} and {Jin Zhang} and {Xiaoxin Cui} and {Ru Huang}},
publisher = {IEEE},
booktitle = {2018 IEEE Biomedical Circuits and Systems Conference, BioCAS 2018, Cleveland, OH, USA, October 17-19, 2018}
}
@inproceedings{conf/cicc/PatraZWKCHC18,
title = {Compact modeling and simulation of accelerated circuit aging.},
year = {2018},
booktitle = {CICC},
author = {{Devyani Patra} and {Jiayang Zhang} and {Runsheng Wang} and {Mehdi Katoozi} and {Ethan H. Cannon} and {Ru Huang} and {Yu Cao 001}},
publisher = {IEEE},
booktitle = {2018 IEEE Custom Integrated Circuits Conference, CICC 2018, San Diego, CA, USA, April 8-11, 2018}
}
@inproceedings{conf/irps/GuoLWZZWH18,
title = {Investigation on the amplitude coupling effect of random telegraph noise (RTN) in nanoscale FinFETs.},
year = {2018},
booktitle = {IRPS},
author = {{Shaofeng Guo} and {Zhenghan Lin} and {Runsheng Wang} and {Zexuan Zhang} and {Zhe Zhang} and {Yangyuan Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Reliability Physics Symposium, IRPS 2018, Burlingame, CA, USA, March 11-15, 2018}
}
@inproceedings{conf/irps/RenLWZYLSWZGWXH18,
title = {New insights into the HCI degradation of pass-gate transistor in advanced FinFET technology.},
year = {2018},
booktitle = {IRPS},
author = {{Pengpeng Ren} and {Changze Liu} and {Sanping Wan} and {Jiayang Zhang} and {Zhuoqing Yu} and {Nie Liu} and {Yongsheng Sun} and {Runsheng Wang} and {Canhui Zhan} and {Zhenghao Gan} and {Waisum Wong} and {Yu Xia} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Reliability Physics Symposium, IRPS 2018, Burlingame, CA, USA, March 11-15, 2018}
}
@inproceedings{conf/iscas/GuoLWMWH18,
title = {Evaluation of SRAM Vmin shift induced by random telegraph noise (RTN): physical understanding and prediction method.},
year = {2018},
booktitle = {ISCAS},
author = {{Shaofeng Guo} and {Zhenghan Lin} and {Runsheng Wang} and {Dongyuan Mao} and {Yangyuan Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2018, 27-30 May 2018, Florence, Italy}
}
@inproceedings{conf/iscas/LuWZWH018,
title = {Investigation on the Gate Bias Voltage of BigFET in Power-rail ESD Clamp Circuit for Enhanced Transient Noise Immunity.},
year = {2018},
booktitle = {ISCAS},
author = {{Guangyi Lu} and {Yuan Wang 001} and {Lizhong Zhang} and {Yize Wang} and {Ru Huang} and {Xing Zhang 002}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2018, 27-30 May 2018, Florence, Italy}
}
@inproceedings{conf/iscas/YangZCWLHYH18,
title = {Combinational Access Tunnel FET SRAM for Ultra-Low Power Applications.},
year = {2018},
booktitle = {ISCAS},
author = {{Libo Yang} and {Jiadi Zhu} and {Cheng Chen} and {Zhixuan Wang} and {Zexue Liu} and {Qianqian Huang} and {Le Ye} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2018, 27-30 May 2018, Florence, Italy}
}
@inproceedings{conf/iscas/ZhangZWZH18,
title = {A Multi-Mode Silicon Neuron Circuit With High Robustness Against PVT Variation.},
year = {2018},
booktitle = {ISCAS},
author = {{Lu Zhang} and {Jin Zhang} and {Yuan Wang 001} and {Xing Zhang 002} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2018, 27-30 May 2018, Florence, Italy}
}
@inproceedings{conf/nvmts/ChenWZWFLLXCH18,
title = {Enhancement of HfO2 Based RRAM Performance Through Hexagonal Boron Nitride Interface Layer.},
year = {2018},
booktitle = {NVMTS},
author = {{Yi-Shao Chen} and {Zongwei Wang} and {Zhihong Zhang} and {Li Wang} and {Yichen Fang} and {Jen-Chung Lou} and {Kaihui Liu} and {Jintong Xu} and {Yimao Cai} and {Ru Huang}},
publisher = {IEEE},
booktitle = {Non-Volatile Memory Technology Symposium, NVMTS 2018, Sendai, Japan, October 22-24, 2018}
}
@inproceedings{conf/nvmts/YuFWCHX18,
title = {Margin Dependence on Array Size for Asymmetric Resistive Memory Cell.},
year = {2018},
booktitle = {NVMTS},
author = {{Zhizhen Yu} and {Yichen Fang} and {Zongwei Wang} and {Yimao Cai} and {Ru Huang} and {Jintong Xu}},
publisher = {IEEE},
booktitle = {Non-Volatile Memory Technology Symposium, NVMTS 2018, Sendai, Japan, October 22-24, 2018}
}
@article{journals/chinaf/ChenLFWYXCH18,
title = {Integration of biocompatible organic resistive memory and photoresistor for wearable image sensing application.},
year = {2018},
journal = {Sci. China Inf. Sci.},
author = {{Qingyu Chen} and {Min Lin} and {Yichen Fang} and {Zongwei Wang} and {Yuchao Yang} and {Jintong Xu} and {Yimao Cai} and {Ru Huang}}
}
@article{journals/chinaf/ZhangAHLZH18,
title = {GeC film with high substitutional carbon concentration formed by ion implantation and solid phase epitaxy for strained Ge n-MOSFETs.},
year = {2018},
journal = {Sci. China Inf. Sci.},
author = {{Bingxin Zhang} and {Xia An} and {Xiangyang Hu} and {Ming Li} and {Xing Zhang 002} and {Ru Huang}}
}
@article{journals/chinaf/ZhangALHL0H18,
title = {Improvement of thermal stability of nickel germanide using nitrogen plasma pretreatment for germanium-based technology.},
year = {2018},
journal = {Sci. China Inf. Sci.},
author = {{Bingxin Zhang} and {Xia An} and {Pengqiang Liu} and {Xiangyang Hu} and {Ming Li} and {Xing Zhang 002} and {Ru Huang}}
}
@article{journals/mr/GuoWRLLJWH18,
title = {Investigation on NBTI-induced dynamic variability in nanoscale CMOS devices: Modeling, experimental evidence, and impact on circuits.},
year = {2018},
journal = {Microelectron. Reliab.},
author = {{Shaofeng Guo} and {Runsheng Wang} and {Pengpeng Ren} and {Changze Liu} and {Mulong Luo} and {Xiaobo Jiang} and {Yangyuan Wang} and {Ru Huang}}
}
@article{journals/nla/HuangSY18,
title = {Recursive integral method with Cayley transformation.},
year = {2018},
journal = {Numer. Linear Algebra Appl.},
author = {{Ru Huang} and {Jiguang Sun} and {C. Yang}}
}
@incollection{books/sp/19/YangYH19,
title = {Neuromorphic Devices and Networks Based on Memristors with Ionic Dynamics.},
year = {2019},
booktitle = {Handbook of Memristor Networks},
author = {{Yuchao Yang} and {Ke Yang} and {Ru Huang}},
publisher = {Springer},
booktitle = {Handbook of Memristor Networks.}
}
@inproceedings{conf/asicon/ChengZLZXYYH19,
title = {Realization of Nanoscale Neuromorphic Memristor Array with Low Power Consumption.},
year = {2019},
booktitle = {ASICON},
author = {{Caidie Cheng} and {Teng Zhang} and {Chang Liu} and {Jiadi Zhu} and {Liying Xu} and {Xiaoqin Yan} and {Yuchao Yang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {13th IEEE International Conference on ASIC, ASICON 2019, Chongqing, China, October 29 - November 1, 2019}
}
@inproceedings{conf/asicon/WangZGWWWH19,
title = {OMI/TMI-based Modeling and Fast Simulation of Random Telegraph Noise (RTN) in Advanced Logic Devices and Circuits.},
year = {2019},
booktitle = {ASICON},
author = {{Runsheng Wang} and {Zhe Zhang} and {Shaofeng Guo} and {Qingxue Wang} and {Dehuang Wu} and {Joddy Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {13th IEEE International Conference on ASIC, ASICON 2019, Chongqing, China, October 29 - November 1, 2019}
}
@inproceedings{conf/asicon/ZhangSWZZWH19,
title = {An Energy-Efficient Mixed-Signal Parallel Multiply-Accumulate (MAC) Engine Based on Stochastic Computing.},
year = {2019},
booktitle = {ASICON},
author = {{Xinyue Zhang 002} and {Jiahao Song} and {Yuan Wang 001} and {Yawen Zhang} and {Zuodong Zhang} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {13th IEEE International Conference on ASIC, ASICON 2019, Chongqing, China, October 29 - November 1, 2019}
}
@inproceedings{conf/biocas/Zhao0ZCH19,
title = {An Energy-Efficient Computing-in-Memory Neuromorphic System with On-Chip Training.},
year = {2019},
booktitle = {BioCAS},
author = {{Zhao Zhao} and {Yuan Wang 001} and {Xinyue Zhang 002} and {Xiaoxin Cui} and {Ru Huang}},
publisher = {IEEE},
booktitle = {2019 IEEE Biomedical Circuits and Systems Conference, BioCAS 2019, Nara, Japan, October 17-19, 2019}
}
@inproceedings{conf/icicdt/ZhangWZSZCWH19,
title = {Memory System Designed for Multiply-Accumulate (MAC) Engine Based on Stochastic Computing.},
year = {2019},
booktitle = {ICICDT},
author = {{Xinyue Zhang 002} and {Yuan Wang 001} and {Yawen Zhang} and {Jiahao Song} and {Zuodong Zhang} and {Kaili Cheng} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {International Conference on IC Design and Technology, ICICDT 2019, Suzhou, China, June 17-19, 2019}
}
@inproceedings{conf/icicdt/Zhao0LCH19,
title = {A Sparse Event-Driven Unsupervised Learning Network with Adaptive Exponential Integrate-and-Fire Model.},
year = {2019},
booktitle = {ICICDT},
author = {{Zhao Zhao} and {Yuan Wang 001} and {Cheng Li} and {Xiaoxin Cui} and {Ru Huang}},
publisher = {IEEE},
booktitle = {International Conference on IC Design and Technology, ICICDT 2019, Suzhou, China, June 17-19, 2019}
}
@inproceedings{conf/iftc/HuangYYM19,
title = {A Generalized Cellular Automata Approach to Modelling Contagion and Monitoring for Emergent Events in Sensor Networks.},
year = {2019},
booktitle = {IFTC},
author = {{Ru Huang} and {Hongyuan Yang} and {Haochen Yang} and {Lei Ma}},
publisher = {Springer},
booktitle = {Digital TV and Wireless Multimedia Communication - 16th International Forum, IFTC 2019, Shanghai, China, September 19-20, 2019, Revised Selected Papers}
}
@inproceedings{conf/iscas/WangZCYHYWH19,
title = {Ultra-Low Power Hybrid TFET-MOSFET Topologies for Standard Logic Cells with Improved Comprehensive Performance.},
year = {2019},
booktitle = {ISCAS},
author = {{Zhixuan Wang} and {Yuan Zhong} and {Cheng Chen} and {Le Ye} and {Qianqian Huang} and {Libo Yang} and {Yangyuan Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2019, Sapporo, Japan, May 26-29, 2019}
}
@inproceedings{conf/iscas/ZhengKWCHLCL19,
title = {Enhance the Robustness to Time Dependent Variability of ReRAM-Based Neuromorphic Computing Systems with Regularization and 2R Synapse.},
year = {2019},
booktitle = {ISCAS},
author = {{Qilin Zheng} and {Jian Kang} and {Zongwei Wang} and {Yimao Cai} and {Ru Huang} and {Bing Li 017} and {Yiran Chen 001} and {Hai Helen Li}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2019, Sapporo, Japan, May 26-29, 2019}
}
@inproceedings{conf/sips/QianWWRH19,
title = {A Survey of Computation-Driven Data Encoding.},
year = {2019},
booktitle = {SiPS},
author = {{Weikang Qian} and {Runsheng Wang} and {Yuan Wang 001} and {Marc D. Riedel} and {Ru Huang}},
publisher = {IEEE},
booktitle = {2019 IEEE International Workshop on Signal Processing Systems, SiPS 2019, Nanjing, China, October 20-23, 2019}
}
@inproceedings{conf/sips/ZhangZSWHW19,
title = {Parallel Convolutional Neural Network (CNN) Accelerators Based on Stochastic Computing.},
year = {2019},
booktitle = {SiPS},
author = {{Yawen Zhang} and {Xinyue Zhang 002} and {Jiahao Song} and {Yuan Wang 001} and {Ru Huang} and {Runsheng Wang}},
publisher = {IEEE},
booktitle = {2019 IEEE International Workshop on Signal Processing Systems, SiPS 2019, Nanjing, China, October 20-23, 2019}
}
@article{journals/chinaf/FangWCYZYCH19,
title = {Investigation of NbOx-based volatile switching device with self-rectifying characteristics.},
year = {2019},
journal = {Sci. China Inf. Sci.},
author = {{Yichen Fang} and {Zongwei Wang} and {Caidie Cheng} and {Zhizhen Yu} and {Teng Zhang} and {Yuchao Yang} and {Yimao Cai} and {Ru Huang}}
}
@article{journals/chinaf/LiZWXYSCHALH19,
title = {Low power and high uniformity of HfO x -based RRAM via tip-enhanced electric fields.},
year = {2019},
journal = {Sci. China Inf. Sci.},
author = {{Xiaokang Li} and {Baotong Zhang} and {Bowen Wang} and {Xiaoyan Xu} and {Yuancheng Yang} and {Shuang Sun} and {Qifeng Cai} and {Shijie Hu} and {Xia An} and {Ming Li} and {Ru Huang}}
}
@article{journals/chinaf/WangHYZH19,
title = {Deep insight into the voltage amplification effect from ferroelectric negative capacitance.},
year = {2019},
journal = {Sci. China Inf. Sci.},
author = {{Huimin Wang} and {Qianqian Huang} and {Mengxuan Yang} and {Xing Zhang 002} and {Ru Huang}}
}
@article{journals/corr/abs-1904-09554,
title = {A Parallel Bitstream Generator for Stochastic Computing.},
year = {2019},
journal = {CoRR},
author = {{Yawen Zhang} and {Runsheng Wang} and {Xinyue Zhang 002} and {Zherui Zhang} and {Jiahao Song} and {Zuodong Zhang} and {Yuan Wang 001} and {Ru Huang}}
}
@article{journals/corr/abs-1904-10269,
title = {New-Generation Design-Technology Co-Optimization (DTCO): Machine-Learning Assisted Modeling Framework.},
year = {2019},
journal = {CoRR},
author = {{Zhe Zhang} and {Runsheng Wang} and {Cheng Chen} and {Qianqian Huang} and {Yangyuan Wang} and {Cheng Hu} and {Dehuang Wu} and {Joddy Wang} and {Ru Huang}}
}
@inproceedings{conf/dac/ZhengWFYCHCYL20,
title = {Lattice: An ADC/DAC-less ReRAM-based Processing-In-Memory Architecture for Accelerating Deep Convolution Neural Networks.},
year = {2020},
booktitle = {DAC},
author = {{Qilin Zheng} and {Zongwei Wang} and {Zishun Feng} and {Bonan Yan} and {Yimao Cai} and {Ru Huang} and {Yiran Chen 001} and {Chia-Lin Yang} and {Hai Helen Li}},
publisher = {IEEE},
booktitle = {57th ACM/IEEE Design Automation Conference, DAC 2020, San Francisco, CA, USA, July 20-24, 2020}
}
@inproceedings{conf/date/ZhangLWW0QH20,
title = {When Sorting Network Meets Parallel Bitstreams: A Fault-Tolerant Parallel Ternary Neural Network Accelerator based on Stochastic Computing.},
year = {2020},
booktitle = {DATE},
author = {{Yawen Zhang} and {Sheng Lin 001} and {Runsheng Wang} and {Yanzhi Wang} and {Yuan Wang 001} and {Weikang Qian} and {Ru Huang}},
publisher = {IEEE},
booktitle = {2020 Design, Automation & Test in Europe Conference & Exhibition, DATE 2020, Grenoble, France, March 9-13, 2020}
}
@inproceedings{conf/glvlsi/ZhangWZHMQZ20,
title = {Reliability-Enhanced Circuit Design Flow Based on Approximate Logic Synthesis.},
year = {2020},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Zuodong Zhang} and {Runsheng Wang} and {Zhe Zhang} and {Ru Huang} and {Chang Meng} and {Weikang Qian} and {Zhuangzhuang Zhou}},
publisher = {ACM},
booktitle = {GLSVLSI '20: Great Lakes Symposium on VLSI 2020, Virtual Event, China, September 7-9, 2020}
}
@inproceedings{conf/iccad/ZhengLWSCHCL20,
title = {MobiLattice: A Depth-wise DCNN Accelerator with Hybrid Digital/Analog Nonvolatile Processing-In-Memory Block.},
year = {2020},
booktitle = {ICCAD},
author = {{Qilin Zheng} and {Xingchen Li} and {Zongwei Wang} and {Guangyu Sun 003} and {Yimao Cai} and {Ru Huang} and {Yiran Chen 001} and {Hai Li 001}},
publisher = {IEEE},
booktitle = {IEEE/ACM International Conference On Computer Aided Design, ICCAD 2020, San Diego, CA, USA, November 2-5, 2020}
}
@inproceedings{conf/iscas/ChenGZYH20,
title = {A 1μW-to-158μW Output Power Pseudo Open-Loop Boost DC-DC with 86.7\% Peak Efficiency using Frequency-Programmable Oscillator and Hybrid Zero Current Detection.},
year = {2020},
booktitle = {ISCAS},
author = {{Xiaolong Chen} and {Enbin Gong} and {Hao Zhang} and {Le Ye} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2020, Sevilla, Spain, October 10-21, 2020}
}
@inproceedings{conf/iscas/GongZCYH20,
title = {2.4-GHz 16-QAM Passive Backscatter Transmitter for Wireless Self-Power Chips in IoT.},
year = {2020},
booktitle = {ISCAS},
author = {{Enbin Gong} and {Hao Zhang} and {Xiaolong Chen} and {Le Ye} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2020, Sevilla, Spain, October 10-21, 2020}
}
@inproceedings{conf/iscas/ZhangWHQWWH20,
title = {Accurate and Energy-Efficient Implementation of Non-Linear Adder in Parallel Stochastic Computing using Sorting Network.},
year = {2020},
booktitle = {ISCAS},
author = {{Yawen Zhang} and {Runsheng Wang} and {Yixuan Hu} and {Weikang Qian} and {Yanzhi Wang} and {Yuan Wang 001} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2020, Sevilla, Spain, October 10-21, 2020}
}
@inproceedings{conf/isscc/WangYZRFWH20,
title = {20.2 A 57nW Software-Defined Always-On Wake-Up Chip for IoT Devices with Asynchronous Pipelined Event-Driven Architecture and Time-Shielding Level-Crossing ADC.},
year = {2020},
booktitle = {ISSCC},
author = {{Zhixuan Wang} and {Le Ye} and {Hao Zhanq} and {Jiayoon Ru} and {Haitao Fan} and {Yangyuan Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {2020 IEEE International Solid- State Circuits Conference, ISSCC 2020, San Francisco, CA, USA, February 16-20, 2020}
}
@article{journals/access/HuangMZHCY20,
title = {Resilient Routing Mechanism for Wireless Sensor Networks With Deep Learning Link Reliability Prediction.},
year = {2020},
journal = {IEEE Access},
author = {{Ru Huang} and {Lei Ma} and {Guangtao Zhai} and {Jianhua He} and {Xiaoli Chu} and {Huaicheng Yan 001}}
}
@article{journals/aisy/BaoWYLCH20,
title = {Rotational Pattern Recognition by Spiking Correlated Neural Network Based on Dual-Gated MoS 2 Neuristor.},
year = {2020},
journal = {Adv. Intell. Syst.},
author = {{Lin Bao} and {Zongwei Wang} and {Zhizhen Yu} and {Yaotian Ling} and {Yimao Cai} and {Ru Huang}}
}
@article{journals/aisy/WangYWHY20,
title = {Memristor-Based Biologically Plausible Memory Based on Discrete and Continuous Attractor Networks for Neuromorphic Systems.},
year = {2020},
journal = {Adv. Intell. Syst.},
author = {{Yanghao Wang} and {Liutao Yu} and {Si Wu} and {Ru Huang} and {Yuchao Yang}}
}
@article{journals/chinaf/ChenYLDXLHL20,
title = {A photomemory by selective-assembling hybrid porphyrin-silicon nanowire field-effect transistor.},
year = {2020},
journal = {Sci. China Inf. Sci.},
author = {{Gong Chen} and {Bocheng Yu} and {Xiaokang Li} and {Xiaoqiao Dong} and {Xiaoyan Xu} and {Zhihong Li} and {Ru Huang} and {Ming Li}}
}
@article{journals/chinaf/JiaCHH20,
title = {Complementary tunneling transistors based on WSe2/SnS2 van der Waals heterostructure.},
year = {2020},
journal = {Sci. China Inf. Sci.},
author = {{Rundong Jia} and {Liang Chen} and {Qianqian Huang} and {Ru Huang}}
}
@article{journals/chinaf/JiaHH20,
title = {Vertical SnS2/Si heterostructure for tunnel diodes.},
year = {2020},
journal = {Sci. China Inf. Sci.},
author = {{Rundong Jia} and {Qianqian Huang} and {Ru Huang}}
}
@article{journals/chinaf/YangZDLSCBXLH20,
title = {High-quality and large-grain epi-like Si film by NiSi2-seed initiated lateral epitaxial crystallization (SILEC).},
year = {2020},
journal = {Sci. China Inf. Sci.},
author = {{Yuancheng Yang} and {Baotong Zhang} and {Xiaoqiao Dong} and {Xiaokang Li} and {Shuang Sun} and {Qifeng Cai} and {Ran Bi} and {Xiaoyan Xu} and {Ming Li} and {Ru Huang}}
}
@article{journals/chinaf/YuanMXZDZZWHY20,
title = {Efficient 16 Boolean logic and arithmetic based on bipolar oxide memristors.},
year = {2020},
journal = {Sci. China Inf. Sci.},
author = {{Rui Yuan} and {Mingyuan Ma} and {Liying Xu} and {Zhenhua Zhu} and {Qingxi Duan} and {Teng Zhang} and {Yu Zhu} and {Yu Wang 002} and {Ru Huang} and {Yuchao Yang}}
}
@article{journals/corr/abs-2006-00441,
title = {DaSGD: Squeezing SGD Parallelization Performance in Distributed Training Using Delayed Averaging.},
year = {2020},
journal = {CoRR},
author = {{Qinggang Zhou} and {Yawen Zhang} and {Pengcheng Li} and {Xiaoyong Liu} and {Jun Yang} and {Runsheng Wang} and {Ru Huang}}
}
@article{journals/tcas/ZhangWZWH20,
title = {Parallel Hybrid Stochastic-Binary-Based Neural Network Accelerators.},
year = {2020},
journal = {IEEE Trans. Circuits Syst.},
author = {{Yawen Zhang} and {Runsheng Wang} and {Xinyue Zhang 002} and {Yuan Wang 001} and {Ru Huang}}
}
@article{journals/tcas/ZhangWZZGH20,
title = {Circuit Reliability Comparison Between Stochastic Computing and Binary Computing.},
year = {2020},
journal = {IEEE Trans. Circuits Syst.},
author = {{Zuodong Zhang} and {Runsheng Wang} and {Zhe Zhang} and {Yawen Zhang} and {Shaofeng Guo} and {Ru Huang}}
}
@inproceedings{conf/asscc/SongWTWH21,
title = {A 16Kb Transpose 6T SRAM In-Memory-Computing Macro based on Robust Charge-Domain Computing.},
year = {2021},
booktitle = {A-SSCC},
author = {{Jiahao Song} and {Yuan Wang 001} and {Xiyuan Tang} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE Asian Solid-State Circuits Conference, A-SSCC 2021, Busan, Korea, Republic of, November 7-10, 2021}
}
@inproceedings{conf/fpga/MaK0YH21,
title = {SWIFT: Small-World-based Structural Pruning to Accelerate DNN Inference on FPGA.},
year = {2021},
booktitle = {FPGA},
author = {{Yufei Ma 002} and {Gokul Krishnan} and {Yu Cao 001} and {Le Ye} and {Ru Huang}},
publisher = {ACM},
booktitle = {FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28 - March 2, 2021}
}
@inproceedings{conf/iccad/MengXLHSWHQ21,
title = {DALTA: A Decomposition-based Approximate Lookup Table Architecture.},
year = {2021},
booktitle = {ICCAD},
author = {{Chang Meng} and {Zhiyuan Xiang} and {Niyiqiu Liu} and {Yixuan Hu} and {Jiahao Song} and {Runsheng Wang} and {Ru Huang} and {Weikang Qian}},
publisher = {IEEE},
booktitle = {IEEE/ACM International Conference On Computer Aided Design, ICCAD 2021, Munich, Germany, November 1-4, 2021}
}
@inproceedings{conf/iciscae/Huang21,
title = {Exploration of practical teaching method of art design education based on new media technology under Internet plus background.},
year = {2021},
booktitle = {ICISCAE (ACM)},
author = {{Ru Huang}},
publisher = {ACM},
booktitle = {ICISCAE 2021: 4th International Conference on Information Systems and Computer Aided Education, Dalian, China, September 24 - 26, 2021}
}
@inproceedings{conf/irps/WangZZHSQH21,
title = {Can Emerging Computing Paradigms Help Enhancing Reliability Towards the End of Technology Roadmap?},
year = {2021},
booktitle = {IRPS},
author = {{Runsheng Wang} and {Zuodong Zhang} and {Yawen Zhang} and {Yixuan Hu} and {Yanan Sun 003} and {Weikang Qian} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Reliability Physics Symposium, IRPS 2021, Monterey, CA, USA, March 21-25, 2021}
}
@inproceedings{conf/iscas/KuangCZ0ZDYWH21,
title = {A 28-nm 0.34-pJ/SOP Spike-Based Neuromorphic Processor for Efficient Artificial Neural Network Implementations.},
year = {2021},
booktitle = {ISCAS},
author = {{Yisong Kuang} and {Xiaoxin Cui} and {Yi Zhong} and {Kefei Liu 002} and {Chenglong Zou} and {Zhenhui Dai} and {Dunshan Yu} and {Yuan Wang 001} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2021, Daegu, South Korea, May 22-28, 2021}
}
@inproceedings{conf/iscas/QianMZQWH21,
title = {Approximate Logic Synthesis in the Loop for Designing Low-Power Neural Network Accelerator.},
year = {2021},
booktitle = {ISCAS},
author = {{Yifan Qian} and {Chang Meng} and {Yawen Zhang} and {Weikang Qian} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2021, Daegu, South Korea, May 22-28, 2021}
}
@inproceedings{conf/iscas/WuWZHCH21,
title = {A High Accuracy Multiple-Command Speech Recognition ASIC Based on Configurable One-Dimension Convolutional Neural Network.},
year = {2021},
booktitle = {ISCAS},
author = {{Lindong Wu} and {Zongwei Wang} and {Ming Zhao} and {Wei Hu} and {Yimao Cai} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2021, Daegu, South Korea, May 22-28, 2021}
}
@inproceedings{conf/iscas/ZhongCK0WH21,
title = {A Spike-Event-Based Neuromorphic Processor with Enhanced On-Chip STDP Learning in 28nm CMOS.},
year = {2021},
booktitle = {ISCAS},
author = {{Yi Zhong} and {Xiaoxin Cui} and {Yisong Kuang} and {Kefei Liu 002} and {Yuan Wang 001} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2021, Daegu, South Korea, May 22-28, 2021}
}
@inproceedings{conf/isscc/ChangHB21,
title = {Session 16 Overview: Computation in Memory Memory Subcommittee.},
year = {2021},
booktitle = {ISSCC},
author = {{Meng-Fan Chang} and {Ru Huang} and {Seung-Jun Bae}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2021, San Francisco, CA, USA, February 13-22, 2021}
}
@inproceedings{conf/isscc/LiTBXZDZYH21,
title = {5.1 A 1.5μW 0.135pJ·\%RH2 CMOS Humidity Sensor Using Adaptive Range-Shift Zoom CDC and Power-Aware Floating Inverter Amplifier Array.},
year = {2021},
booktitle = {ISSCC},
author = {{Heyi Li} and {Zhichao Tan} and {Yuanxin Bao} and {Han Xiao 006} and {Hao Zhang} and {Kaixuan Du} and {Yihan Zhang 002} and {Le Ye} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2021, San Francisco, CA, USA, February 13-22, 2021}
}
@inproceedings{conf/isscc/WangYLZTFZRWH21,
title = {12.1 A 148nW General-Purpose Event-Driven Intelligent Wake-Up Chip for AIoT Devices Using Asynchronous Spike-Based Feature Extractor and Convolutional Neural Network.},
year = {2021},
booktitle = {ISSCC},
author = {{Zhixuan Wang} and {Le Ye} and {Ying Liu} and {Peng Zhou} and {Zhichao Tan} and {Haitao Fan} and {Yihan Zhang 002} and {Jiayoon Ru} and {Yangyuan Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2021, San Francisco, CA, USA, February 13-22, 2021}
}
@article{journals/chinaf/ChengTCYYH21,
title = {In-memory computing with emerging nonvolatile memory devices.},
year = {2021},
journal = {Sci. China Inf. Sci.},
author = {{Caidie Cheng} and {Pek Jun Tiw} and {Yimao Cai} and {Xiaoqin Yan} and {Yuchao Yang} and {Ru Huang}}
}
@article{journals/chinaf/RenALZH21,
title = {Layout dependence of total-ionizing-dose response in 65-nm bulk Si pMOSFET.},
year = {2021},
journal = {Sci. China Inf. Sci.},
author = {{Zhexuan Ren} and {Xia An} and {Gensong Li} and {Xing Zhang 002} and {Ru Huang}}
}
@article{journals/corr/abs-2101-09593,
title = {Generating a Doppelganger Graph: Resembling but Distinct.},
year = {2021},
journal = {CoRR},
author = {{Yuliang Ji} and {Ru Huang} and {Jie Chen 007} and {Yuanzhe Xi}}
}
@article{journals/displays/HuangMHC21,
title = {T-GAN: A deep learning framework for prediction of temporal complex networks with adaptive graph convolution and attention mechanism.},
year = {2021},
journal = {Displays},
author = {{Ru Huang} and {Lei Ma} and {Jianhua He} and {Xiaoli Chu}}
}
@article{journals/jssc/LiTBXZDSRZYH21,
title = {Energy-Efficient CMOS Humidity Sensors Using Adaptive Range-Shift Zoom CDC and Power-Aware Floating Inverter Amplifier Array.},
year = {2021},
journal = {IEEE J. Solid State Circuits},
author = {{Heyi Li} and {Zhichao Tan} and {Yuanxin Bao} and {Han Xiao 006} and {Hao Zhang} and {Kaixuan Du} and {Linxiao Shen} and {Jiayoon Ru} and {Yihan Zhang 002} and {Le Ye} and {Ru Huang}}
}
@article{journals/jssc/WangLZTFZSRWYH21,
title = {A 148-nW Reconfigurable Event-Driven Intelligent Wake-Up System for AIoT Nodes Using an Asynchronous Pulse-Based Feature Extractor and a Convolutional Neural Network.},
year = {2021},
journal = {IEEE J. Solid State Circuits},
author = {{Zhixuan Wang} and {Ying Liu} and {Peng Zhou} and {Zhichao Tan} and {Haitao Fan} and {Yihan Zhang 002} and {Linxiao Shen} and {Jiayoon Ru} and {Yangyuan Wang} and {Le Ye} and {Ru Huang}}
}
@article{journals/jssc/WangZZSRFTWYH21,
title = {A Software-Defined Always-On System With 57-75-nW Wake-Up Function Using Asynchronous Clock-Free Pipelined Event-Driven Architecture and Time-Shielding Level-Crossing ADC.},
year = {2021},
journal = {IEEE J. Solid State Circuits},
author = {{Zhixuan Wang} and {Hao Zhang} and {Yihan Zhang 002} and {Linxiao Shen} and {Jiayoon Ru} and {Haitao Fan} and {Zhichao Tan} and {Yangyuan Wang} and {Le Ye} and {Ru Huang}}
}
@article{journals/tcasI/SongWGJCHTWH21,
title = {TD-SRAM: Time-Domain-Based In-Memory Computing Macro for Binary Neural Networks.},
year = {2021},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Jiahao Song} and {Yuan Wang 001} and {Minguang Guo} and {Xiang Ji} and {Kaili Cheng} and {Yixuan Hu} and {Xiyuan Tang} and {Runsheng Wang} and {Ru Huang}}
}
@article{journals/tcasI/WangSLBCIH21,
title = {Optimization Schemes for In-Memory Linear Regression Circuit With Memristor Arrays.},
year = {2021},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Shiqing Wang} and {Zhong Sun} and {Yuheng Liu} and {Shengyu Bao} and {Yimao Cai} and {Daniele Ielmini} and {Ru Huang}}
}
@article{journals/tcasI/WangYHDTWH21,
title = {Ultra-Low-Power and Performance-Improved Logic Circuit Using Hybrid TFET-MOSFET Standard Cells Topologies and Optimized Digital Front-End Process.},
year = {2021},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Zhixuan Wang} and {Le Ye} and {Qianqian Huang} and {Kaixuan Du} and {Zhichao Tan} and {Yangyuan Wang} and {Ru Huang}}
}
@article{journals/tcasI/WangYHWH21,
title = {Re-Assessment of Steep-Slope Device Design From a Circuit-Level Perspective Using Novel Evaluation Criteria and Model-Less Method.},
year = {2021},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Zhixuan Wang} and {Le Ye} and {Qianqian Huang} and {Yangyuan Wang} and {Ru Huang}}
}
@article{journals/tcasI/YeWLCLZWHSZTWH21,
title = {The Challenges and Emerging Technologies for Low-Power Artificial Intelligence IoT Systems.},
year = {2021},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Le Ye} and {Zhixuan Wang} and {Ying Liu} and {Peiyu Chen} and {Heyi Li} and {Hao Zhang} and {Meng Wu} and {Wei He} and {Linxiao Shen} and {Yihan Zhang 002} and {Zhichao Tan} and {Yangyuan Wang} and {Ru Huang}}
}
@article{journals/tcasII/KuangCZLZDWYH21,
title = {A 64K-Neuron 64M-1b-Synapse 2.64pJ/SOP Neuromorphic Chip With All Memory on Chip for Spike-Based Models in 65nm CMOS.},
year = {2021},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Yisong Kuang} and {Xiaoxin Cui} and {Yi Zhong} and {Kefei Liu 002} and {Chenglong Zou} and {Zhenhui Dai} and {Yuan Wang 001} and {Dunshan Yu} and {Ru Huang}}
}
@article{journals/tcasII/SunH21,
title = {Time Complexity of In-Memory Matrix-Vector Multiplication.},
year = {2021},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Zhong Sun} and {Ru Huang}}
}
@inproceedings{conf/aicas/CuiHLSCWH22,
title = {A Mapping Model of SNNs to Neuromorphic Hardware.},
year = {2022},
booktitle = {AICAS},
author = {{Xiuping Cui} and {Xiaochen Hao} and {Yun Liang 001} and {Guangyu Sun 003} and {Xiaoxin Cui} and {Yuan Wang 001} and {Ru Huang}},
publisher = {IEEE},
booktitle = {4th IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2022, Incheon, Republic of Korea, June 13-15, 2022}
}
@inproceedings{conf/aspdac/QiuZYH22,
title = {Heterogeneous Memory Architecture Accommodating Processing-in-Memory on SoC for AIoT Applications.},
year = {2022},
booktitle = {ASP-DAC},
author = {{Kangyi Qiu} and {Yaojun Zhang} and {Bonan Yan} and {Ru Huang}},
publisher = {IEEE},
booktitle = {27th Asia and South Pacific Design Automation Conference, ASP-DAC 2022, Taipei, Taiwan, January 17-20, 2022}
}
@inproceedings{conf/cicc/ZhangSZLZTHY22,
title = {A 77μW 115dB-Dynamic-Range 586fA-Sensitivity Current-Domain Continuous-Time Zoom ADC with Pulse-Width-Modulated Resistor DAC and Background Offset Compensation Scheme.},
year = {2022},
booktitle = {CICC},
author = {{Hao Zhang} and {Linxiao Shen} and {Shichuang Zhang} and {Heyi Li} and {Yihan Zhang 002} and {Zhichao Tan} and {Ru Huang} and {Le Ye}},
publisher = {IEEE},
booktitle = {IEEE Custom Integrated Circuits Conference, CICC 2022, Newport Beach, CA, USA, April 24-27, 2022}
}
@inproceedings{conf/dac/LiZYHL022,
title = {ASTERS: adaptable threshold spike-timing neuromorphic design with twin-column ReRAM synapses.},
year = {2022},
booktitle = {DAC},
author = {{Ziru Li} and {Qilin Zheng} and {Bonan Yan} and {Ru Huang} and {Bing Li} and {Yiran Chen 001}},
publisher = {ACM},
booktitle = {DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}
}
@inproceedings{conf/dac/ZhangGLWH22,
title = {AVATAR: an aging- and variation-aware dynamic timing analyzer for application-based DVAFS.},
year = {2022},
booktitle = {DAC},
author = {{Zuodong Zhang} and {Zizheng Guo} and {Yibo Lin} and {Runsheng Wang} and {Ru Huang}},
publisher = {ACM},
booktitle = {DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}
}
@inproceedings{conf/date/ZhangGLWH22,
title = {EventTimer: Fast and Accurate Event-Based Dynamic Timing Analysis.},
year = {2022},
booktitle = {DATE},
author = {{Zuodong Zhang} and {Zizheng Guo} and {Yibo Lin} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {2022 Design, Automation & Test in Europe Conference & Exhibition, DATE 2022, Antwerp, Belgium, March 14-23, 2022}
}
@inproceedings{conf/essderc/DingLCSWPCZH22,
title = {Compact Modeling of Phase Change Memory with Parameter Extractions.},
year = {2022},
booktitle = {ESSDERC},
author = {{Feilong Ding} and {Xi Li} and {Yihan Chen} and {Zhitang Song} and {Runsheng Wang} and {Clarissa C. Prawoto} and {Mansun Chan} and {Lining Zhang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {52nd IEEE European Solid-State Device Research Conference, ESSDERC 2022, Milan, Italy, September 19-22, 2022}
}
@inproceedings{conf/hpca/LiWSZYWHNZLZCGS22,
title = {Enabling High-Quality Uncertainty Quantification in a PIM Designed for Bayesian Neural Network.},
year = {2022},
booktitle = {HPCA},
author = {{Xingchen Li} and {Bingzhe Wu} and {Guangyu Sun 003} and {Zhe Zhang} and {Zhihang Yuan} and {Runsheng Wang} and {Ru Huang} and {Dimin Niu} and {Hongzhong Zheng} and {Zhichao Lu} and {Liang Zhao} and {Meng-Fan Marvin Chang} and {Tianchan Guan} and {Xin Si}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on High-Performance Computer Architecture, HPCA 2022, Seoul, South Korea, April 2-6, 2022}
}
@inproceedings{conf/iccad/Qiu0ZWYH22,
title = {DCIM-GCN: Digital Computing-in-Memory to Efficiently Accelerate Graph Convolutional Networks.},
year = {2022},
booktitle = {ICCAD},
author = {{Yikan Qiu} and {Yufei Ma 002} and {Wentao Zhao} and {Meng Wu} and {Le Ye} and {Ru Huang}},
publisher = {ACM},
booktitle = {Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}
}
@inproceedings{conf/iccad/WangGLWH22,
title = {DeePEB: A Neural Partial Differential Equation Solver for Post Exposure Baking Simulation in Lithography.},
year = {2022},
booktitle = {ICCAD},
author = {{Qipan Wang} and {Xiaohan Gao} and {Yibo Lin} and {Runsheng Wang} and {Ru Huang}},
publisher = {ACM},
booktitle = {Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}
}
@inproceedings{conf/irps/LiuRWZJLWH22,
title = {New Insight into the Aging Induced Retention Time Degraded of Advanced DRAM Technology.},
year = {2022},
booktitle = {IRPS},
author = {{Yong Liu} and {Pengpeng Ren} and {Da Wang} and {Longda Zhou} and {Zhigang Ji} and {Junhua Liu} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Reliability Physics Symposium, IRPS 2022, Dallas, TX, USA, March 27-31, 2022}
}
@inproceedings{conf/irps/RenZLWJH22,
title = {Towards the Characterization of Full ID-VG Degradation in Transistors for Future Analog Applications.},
year = {2022},
booktitle = {IRPS},
author = {{Pengpeng Ren} and {Xinfa Zhang} and {Junhua Liu} and {Runsheng Wang} and {Zhigang Ji} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Reliability Physics Symposium, IRPS 2022, Dallas, TX, USA, March 27-31, 2022}
}
@inproceedings{conf/irps/WangLRZJLWH22,
title = {Characterization and Modelling of Hot Carrier Degradation in pFETs under Vd>Vg Condition for sub-20nm DRAM Technologies.},
year = {2022},
booktitle = {IRPS},
author = {{Da Wang} and {Yong Liu} and {Pengpeng Ren} and {Longda Zhou} and {Zhigang Ji} and {Junhua Liu} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Reliability Physics Symposium, IRPS 2022, Dallas, TX, USA, March 27-31, 2022}
}
@inproceedings{conf/isscc/LiuWHSZCWZZLSRY22,
title = {An 82nW 0.53pJ/SOP Clock-Free Spiking Neural Network with 40µs Latency for AloT Wake-Up Functions Using Ultimate-Event-Driven Bionic Architecture and Computing-in-Memory Technique.},
year = {2022},
booktitle = {ISSCC},
author = {{Ying Liu} and {Zhixuan Wang} and {Wei He} and {Linxiao Shen} and {Yihan Zhang 002} and {Peiyu Chen} and {Meng Wu} and {Hao Zhang} and {Peng Zhou} and {Jinguang Liu} and {Guangyu Sun 003} and {Jiayoon Ru} and {Le Ye} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2022, San Francisco, CA, USA, February 20-26, 2022}
}
@inproceedings{conf/isscc/YanHYLZYMYYLCH22,
title = {A 1.041-Mb/mm2 27.38-TOPS/W Signed-INT8 Dynamic-Logic-Based ADC-less SRAM Compute-in-Memory Macro in 28nm with Reconfigurable Bitwise Operation for AI and Embedded Applications.},
year = {2022},
booktitle = {ISSCC},
author = {{Bonan Yan} and {Jeng-Long Hsu} and {Pang-Cheng Yu} and {Chia-Chi Lee} and {Yaojun Zhang} and {Wenshuo Yue} and {Guoqiang Mei} and {Yuchao Yang} and {Yue Yang} and {Hai Li 001} and {Yiran Chen 001} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2022, San Francisco, CA, USA, February 20-26, 2022}
}
@inproceedings{conf/isscc/ZhangXWLGCLSSRY22,
title = {Single-Mode CMOS 6T-SRAM Macros With Keeper-Loading-Free Peripherals and Row-Separate Dynamic Body Bias Achieving 2.53fW/bit Leakage for AIoT Sensing Platforms.},
year = {2022},
booktitle = {ISSCC},
author = {{Yihan Zhang 002} and {Chang Xue} and {Xiao Wang} and {Tianyi Liu} and {Jihang Gao} and {Peiyu Chen} and {Jinguang Liu} and {Linan Sun} and {Linxiao Shen} and {Jiayoon Ru} and {Le Ye} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2022, San Francisco, CA, USA, February 20-26, 2022}
}
@inproceedings{conf/vlsit/LuoXFYYLHH22,
title = {A Novel Ambipolar Ferroelectric Tunnel FinFET based Content Addressable Memory with Ultra-low Hardware Cost and High Energy Efficiency for Machine Learning.},
year = {2022},
booktitle = {VLSI Technology and Circuits},
author = {{Jin Luo} and {Weikai Xu} and {Boyi Fu} and {Zheru Yu} and {Mengxuan Yang} and {Yiqing Li} and {Qianqian Huang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}
}
@article{journals/aisy/DuanZLYLTYGYH22,
title = {Artificial Multisensory Neurons with Fused Haptic and Temperature Perception for Multimodal In-Sensor Computing.},
year = {2022},
journal = {Adv. Intell. Syst.},
author = {{Qingxi Duan} and {Teng Zhang} and {Chang Liu} and {Rui Yuan} and {Ge Li} and {Pek Jun Tiw} and {Ke Yang} and {Chen Ge} and {Yuchao Yang} and {Ru Huang}}
}
@article{journals/aisy/ShanWBBQLBRCH22,
title = {In Materia Neuron Spiking Plasticity for Sequential Event Processing Based on Dual-Mode Memristor.},
year = {2022},
journal = {Adv. Intell. Syst.},
author = {{Linbo Shan} and {Zongwei Wang} and {Lin Bao} and {Shengyu Bao} and {Yabo Qin} and {Yaotian Ling} and {Guandong Bai} and {John Robertson} and {Yimao Cai} and {Ru Huang}}
}
@article{journals/chinaf/ChaiZLLWH22,
title = {CircuitNet: an open-source dataset for machine learning applications in electronic design automation (EDA).},
year = {2022},
journal = {Sci. China Inf. Sci.},
author = {{Zhuomin Chai} and {Yuxiang Zhao} and {Yibo Lin} and {Wei Liu} and {Runsheng Wang} and {Ru Huang}}
}
@article{journals/chinaf/LiuALRLZH22,
title = {Single event transients induced by pulse laser in Ge pMOSFETs and its supply voltage dependence.},
year = {2022},
journal = {Sci. China Inf. Sci.},
author = {{Jingyi Liu} and {Xia An} and {Gensong Li} and {Zhexuan Ren} and {Ming Li} and {Xing Zhang 002} and {Ru Huang}}
}
@article{journals/chinaf/YangHSCWH22,
title = {Experimental investigation of the gate voltage range of negative differential capacitance in ferroelectric transistors.},
year = {2022},
journal = {Sci. China Inf. Sci.},
author = {{Mengxuan Yang} and {Qianqian Huang} and {Chang Su} and {Liang Chen} and {Yangyuan Wang} and {Ru Huang}}
}
@article{journals/chinaf/YangHWSCWH22,
title = {Physical investigation of subthreshold swing degradation behavior in negative capacitance FET.},
year = {2022},
journal = {Sci. China Inf. Sci.},
author = {{Mengxuan Yang} and {Qianqian Huang} and {Kaifeng Wang} and {Chang Su} and {Liang Chen} and {Yangyuan Wang} and {Ru Huang}}
}
@article{journals/corr/abs-2211-13955,
title = {MPCViT: Searching for MPC-friendly Vision Transformer with Heterogeneous Attention.},
year = {2022},
journal = {CoRR},
author = {{Wenxuan Zeng} and {Meng Li 004} and {Wenjie Xiong 001} and {Wenjie Lu} and {Jin Tan} and {Runsheng Wang} and {Ru Huang}}
}
@article{journals/neuromorphic/XiaoYZHY22,
title = {Memristive devices based hardware for unlabeled data processing.},
year = {2022},
journal = {Neuromorph. Comput. Eng.},
author = {{Zhuojian Xiao} and {Bonan Yan} and {Teng Zhang} and {Ru Huang} and {Yuchao Yang}}
}
@article{journals/sensors/HuangCHC22,
title = {Dynamic Heterogeneous User Generated Contents-Driven Relation Assessment via Graph Representation Learning.},
year = {2022},
journal = {Sensors},
author = {{Ru Huang} and {Zijian Chen 001} and {Jianhua He} and {Xiaoli Chu}}
}
@article{journals/tcad/ZhengLGWCCSH22,
title = {PIMulator-NN: An Event-Driven, Cross-Level Simulation Framework for Processing-In-Memory-Based Neural Network Accelerators.},
year = {2022},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Qilin Zheng} and {Xingchen Li} and {Yijin Guan} and {Zongwei Wang} and {Yimao Cai} and {Yiran Chen 001} and {Guangyu Sun 003} and {Ru Huang}}
}
@article{journals/tcasI/JingYYH22,
title = {VSDCA: A Voltage Sensing Differential Column Architecture Based on 1T2R RRAM Array for Computing-in-Memory Accelerators.},
year = {2022},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Zhaokun Jing} and {Bonan Yan} and {Yuchao Yang} and {Ru Huang}}
}
@article{journals/tcasI/LuoWZSH22,
title = {Modeling and Mitigating the Interconnect Resistance Issue in Analog RRAM Matrix Computing Circuits.},
year = {2022},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Yubiao Luo} and {Shiqing Wang} and {Pushen Zuo} and {Zhong Sun} and {Ru Huang}}
}
@article{journals/tvlsi/KuangCWZZLDYWH22,
title = {ESSA: Design of a Programmable Efficient Sparse Spiking Neural Network Accelerator.},
year = {2022},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Yisong Kuang} and {Xiaoxin Cui} and {Zilin Wang} and {Chenglong Zou} and {Yi Zhong} and {Kefei Liu 002} and {Zhenhui Dai} and {Dunshan Yu} and {Yuan Wang 001} and {Ru Huang}}
}
@inproceedings{conf/aicas/FanHJHZYYYH23,
title = {Live Demonstration: SRAM Compute-In-Memory Based Visual & Aural Recognition System.},
year = {2023},
booktitle = {AICAS},
author = {{Anjunyi Fan} and {Bo Hu} and {Zhonghua Jin} and {Haiyue Han} and {Yaojun Zhang} and {Yue Yang} and {Yuchao Yang} and {Bonan Yan} and {Ru Huang}},
publisher = {IEEE},
booktitle = {5th IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2023, Hangzhou, China, June 11-13, 2023}
}
@inproceedings{conf/asicon/CaiGWBLZWH23,
title = {Device-Architecture Co-optimization for RRAM-based In-memory Computing.},
year = {2023},
booktitle = {ASICON},
author = {{Yimao Cai} and {Yi Gao} and {Zongwei Wang} and {Lin Bao} and {Ling Liang} and {Qilin Zheng} and {Cuimei Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {15th IEEE International Conference on ASIC, ASICON 2023, Nanjing, China, October 24-27, 2023}
}
@inproceedings{conf/asicon/LiHZWZHW23,
title = {Not your father's stochastic computing (SC)! Efficient yet Accurate End-to-End SC Accelerator Design.},
year = {2023},
booktitle = {ASICON},
author = {{Meng Li 004} and {Yixuan Hu} and {Tengyu Zhang} and {Renjie Wei} and {Yawen Zhang} and {Ru Huang} and {Runsheng Wang}},
publisher = {IEEE},
booktitle = {15th IEEE International Conference on ASIC, ASICON 2023, Nanjing, China, October 24-27, 2023}
}
@inproceedings{conf/asicon/WeiWWYZWRYZBHH23,
title = {A Novel TFET-MOSFET Hybrid SRAM for Ultra-Low-Power Applications.},
year = {2023},
booktitle = {ASICON},
author = {{Renjie Wei} and {Kaifeng Wang} and {Zhixuan Wang} and {Libo Yang} and {Fangxing Zhang} and {Yongqin Wu} and {Ye Ren} and {Le Ye} and {Lining Zhang} and {Weihai Bu} and {Ru Huang} and {Qianqian Huang}},
publisher = {IEEE},
booktitle = {15th IEEE International Conference on ASIC, ASICON 2023, Nanjing, China, October 24-27, 2023}
}
@inproceedings{conf/aspdac/ChenW0YH23,
title = {RIMAC: An Array-Level ADC/DAC-Free ReRAM-Based in-Memory DNN Processor with Analog Cache and Computation.},
year = {2023},
booktitle = {ASP-DAC},
author = {{Peiyu Chen} and {Meng Wu} and {Yufei Ma 002} and {Le Ye} and {Ru Huang}},
publisher = {ACM},
booktitle = {Proceedings of the 28th Asia and South Pacific Design Automation Conference, ASPDAC 2023, Tokyo, Japan, January 16-19, 2023}
}
@inproceedings{conf/cicc/LiuCWZHZWZJMYH23,
title = {A A 22nm 0.43pJ/SOP Sparsity-Aware In-Memory Neuromorphic Computing System with Hybrid Spiking and Artificial Neural Network and Configurable Topology.},
year = {2023},
booktitle = {CICC},
author = {{Ying Liu} and {Zhiyuan Chenl} and {Zhixuan Wang} and {Wentao Zhao} and {Wei He} and {Jianfeng Zhu} and {Oijun Wang} and {Ning Zhang} and {Tianyu Jia} and {Yufei Ma 002} and {Le Ye} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE Custom Integrated Circuits Conference, CICC 2023, San Antonio, TX, USA, April 23-26, 2023}
}
@inproceedings{conf/cicc/SongTLZQSYWWH23,
title = {A Calibration-Free 15-level/Cell eDRAM Computing-in-Memory Macro with 3T1C Current-Programmed Dynamic-Cascoded MLC achieving 233-to-304-TOPS/W 4b MAC.},
year = {2023},
booktitle = {CICC},
author = {{Jiahao Song} and {Xiyuan Tang} and {Haoyang Luo} and {Haoyi Zhang} and {Xin Qiao} and {Zixuan Sun} and {Xiangxing Yang} and {Yuan Wang 001} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE Custom Integrated Circuits Conference, CICC 2023, San Antonio, TX, USA, April 23-26, 2023}
}
@inproceedings{conf/dac/DongJDJWZZYMLYH23,
title = {A Model-Specific End-to-End Design Methodology for Resource-Constrained TinyML Hardware.},
year = {2023},
booktitle = {DAC},
author = {{Yanchi Dong} and {Tianyu Jia} and {Kaixuan Du} and {Yiqi Jing} and {Qijun Wang} and {Pixian Zhan} and {Yadong Zhang} and {Fengyun Yan} and {Yufei Ma 002} and {Yun Liang 001} and {Le Ye} and {Ru Huang}},
publisher = {IEEE},
booktitle = {60th ACM/IEEE Design Automation Conference, DAC 2023, San Francisco, CA, USA, July 9-13, 2023}
}
@inproceedings{conf/dac/GuoZJLLWH23,
title = {General-Purpose Gate-Level Simulation with Partition-Agnostic Parallelism.},
year = {2023},
booktitle = {DAC},
author = {{Zizheng Guo} and {Zuodong Zhang} and {Xun Jiang} and {Wuxi Li} and {Yibo Lin} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {60th ACM/IEEE Design Automation Conference, DAC 2023, San Francisco, CA, USA, July 9-13, 2023}
}
@inproceedings{conf/dac/HuZLWLWWH23,
title = {Efficient Non-Linear Adder for Stochastic Computing with Approximate Spatial-Temporal Sorting Network.},
year = {2023},
booktitle = {DAC},
author = {{Yixuan Hu} and {Tengyu Zhang} and {Meng Li 004} and {Renjie Wei} and {Liangzhen Lai} and {Yuan Wang 001} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {60th ACM/IEEE Design Automation Conference, DAC 2023, San Francisco, CA, USA, July 9-13, 2023}
}
@inproceedings{conf/dac/WangLJLLWH23,
title = {MTL-Designer: An Integrated Flow for Analysis and Synthesis of Microstrip Transmission Line.},
year = {2023},
booktitle = {DAC},
author = {{Qipan Wang} and {Ping Liu} and {Liguo Jiang} and {Mingjie Liu} and {Yibo Lin} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {60th ACM/IEEE Design Automation Conference, DAC 2023, San Francisco, CA, USA, July 9-13, 2023}
}
@inproceedings{conf/date/HuZWLWWH23,
title = {Accurate yet Efficient Stochastic Computing Neural Acceleration with High Precision Residual Fusion.},
year = {2023},
booktitle = {DATE},
author = {{Yixuan Hu} and {Tengyu Zhang} and {Renjie Wei} and {Meng Li 004} and {Runsheng Wang} and {Yuan Wang 001} and {Ru Huang}},
publisher = {IEEE},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2023, Antwerp, Belgium, April 17-19, 2023}
}
@inproceedings{conf/date/ZhangGLSTLLWH23,
title = {SAGERoute: Synergistic Analog Routing Considering Geometric and Electrical Constraints with Manual Design Compatibility.},
year = {2023},
booktitle = {DATE},
author = {{Haoyi Zhang} and {Xiaohan Gao} and {Haoyang Luo} and {Jiahao Song} and {Xiyuan Tang} and {Junhua Liu} and {Yibo Lin} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2023, Antwerp, Belgium, April 17-19, 2023}
}
@inproceedings{conf/date/ZhangLLWH23,
title = {READ: Reliability-Enhanced Accelerator Dataflow Optimization using Critical Input Pattern Reduction.},
year = {2023},
booktitle = {DATE},
author = {{Zuodong Zhang} and {Meng Li 004} and {Yibo Lin} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2023, Antwerp, Belgium, April 17-19, 2023}
}
@inproceedings{conf/esscirc/XuSYWCGCZHY23,
title = {A 12.5-ppm/°C 1.086-nW/kHz Relaxation Oscillator with Clock-Gated Discrete-Time Comparator in 22nm CMOS Technology.},
year = {2023},
booktitle = {ESSCIRC},
author = {{Xinhang Xu} and {Linxiao Shen} and {Siyuan Ye} and {Jiayi Wu} and {Zhuoyi Chen} and {Jihang Gao} and {Jiajia Cui} and {Yihan Zhang 002} and {Ru Huang} and {Le Ye}},
publisher = {IEEE},
booktitle = {49th IEEE European Solid State Circuits Conference, ESSCIRC 2023, Lisbon, Portugal, September 11-14, 2023}
}
@inproceedings{conf/essderc/SuLFXWCCHH23,
title = {New Insights into Read Current Margin and Memory Window of HfO2-based Ferroelectric FET with Re-exploration of the Role of Ferroelectric Dynamics and Interface Charges during Readout.},
year = {2023},
booktitle = {ESSDERC},
author = {{Chang Su} and {Zhongxin Liang} and {Zhiyuan Fu} and {Shaodi Xu} and {Kaifeng Wang} and {Puyang Cai} and {Liang Chen} and {Ru Huang} and {Qianqian Huang}},
publisher = {IEEE},
booktitle = {53rd IEEE European Solid-State Device Research Conference, ESSDERC 2023, Lisbon, Portugal, September 11-14, 2023}
}
@inproceedings{conf/essderc/WangWRWCHWZZPWYZKWBHH23,
title = {First Foundry Platform Demonstration of Hybrid Tunnel FET and MOSFET Circuits Based on a Novel Laminated Well Isolation Technology.},
year = {2023},
booktitle = {ESSDERC},
author = {{Kaifeng Wang} and {Yongqin Wu} and {Ye Ren} and {Renjie Wei} and {Zerui Chen} and {Jianfeng Hang} and {Zhixuan Wang} and {Fangxing Zhang} and {Lining Zhang} and {Chunyu Peng} and {Xiulong Wu} and {Le Ye} and {Kai Zheng} and {Jin Kang} and {Xusheng Wu} and {Weihai Bu} and {Ru Huang} and {Qianqian Huang}},
publisher = {IEEE},
booktitle = {53rd IEEE European Solid-State Device Research Conference, ESSDERC 2023, Lisbon, Portugal, September 11-14, 2023}
}
@inproceedings{conf/iccad/JiangGCZLWH23,
title = {Invited Paper: Accelerating Routability and Timing Optimization with Open-Source AI4EDA Dataset CircuitNet and Heterogeneous Platforms.},
year = {2023},
booktitle = {ICCAD},
author = {{Xun Jiang} and {Zizheng Guo} and {Zhuomin Chai} and {Yuxiang Zhao} and {Yibo Lin} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE/ACM International Conference on Computer Aided Design, ICCAD 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}
}
@inproceedings{conf/iccad/XuLWH23,
title = {Falcon: Accelerating Homomorphically Encrypted Convolutions for Efficient Private Mobile Network Inference.},
year = {2023},
booktitle = {ICCAD},
author = {{Tianshi Xu} and {Meng Li 004} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE/ACM International Conference on Computer Aided Design, ICCAD 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}
}
@inproceedings{conf/iccad/ZhangWLLWH23,
title = {READ: Reliability-Enhanced Accelerator Dataflow Optimization Using Critical Input Pattern Reduction.},
year = {2023},
booktitle = {ICCAD},
author = {{Zuodong Zhang} and {Renjie Wei} and {Meng Li 004} and {Yibo Lin} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE/ACM International Conference on Computer Aided Design, ICCAD 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}
}
@inproceedings{conf/iccad/ZhongLLWH23,
title = {Memory-aware Scheduling for Complex Wired Networks with Iterative Graph Optimization.},
year = {2023},
booktitle = {ICCAD},
author = {{Shuzhang Zhong} and {Meng Li 004} and {Yun Liang 001} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE/ACM International Conference on Computer Aided Design, ICCAD 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}
}
@inproceedings{conf/iccv/ZengL0TLTWH23,
title = {MPCViT: Searching for Accurate and Efficient MPC-Friendly Vision Transformer with Heterogeneous Attention.},
year = {2023},
booktitle = {ICCV},
author = {{Wenxuan Zeng} and {Meng Li 004} and {Wenjie Xiong 001} and {Tong Tong} and {Wen-Jie Lu} and {Jin Tan} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE/CVF International Conference on Computer Vision, ICCV 2023, Paris, France, October 1-6, 2023}
}
@inproceedings{conf/icta3/ChengQWZWCH23,
title = {A High-Throughput and Configurable TRNG Based on Dual-Mode Memristor for Stochastic Computing.},
year = {2023},
booktitle = {ICTA},
author = {{Zezhi Cheng} and {Yabo Qin} and {Zongwei Wang} and {Feng Zou} and {Cuimei Wang} and {Yimao Cai} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Conference on Integrated Circuits, Technologies and Applications, ICTA 2023, Hefei, China, October 27-29, 2023}
}
@inproceedings{conf/icta3/LiWWCH23,
title = {Design Considerations of Multi-Level 1S1R Cell for In-Memory Computing.},
year = {2023},
booktitle = {ICTA},
author = {{Jinshan Li} and {Zongwei Wang} and {Cuimei Wang} and {Yimao Cai} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Conference on Integrated Circuits, Technologies and Applications, ICTA 2023, Hefei, China, October 27-29, 2023}
}
@inproceedings{conf/irps/SunLXLWZJWH23,
title = {Investigation of Hot Carrier Enhanced Body Bias Effect in Advanced FinFET Technology.},
year = {2023},
booktitle = {IRPS},
author = {{Zixuan Sun} and {Haoran Lu} and {Yongkang Xue} and {Wenpu Luo} and {Zirui Wang} and {Jiayang Zhang} and {Zhigang Ji} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Reliability Physics Symposium, IRPS 2023, Monterey, CA, USA, March 26-30, 2023}
}
@inproceedings{conf/irps/ZhouLQRSWWJWCH23,
title = {Double-sided Row Hammer Effect in Sub-20 nm DRAM: Physical Mechanism, Key Features and Mitigation.},
year = {2023},
booktitle = {IRPS},
author = {{Longda Zhou} and {Jie Li} and {Zheng Qiao} and {Pengpeng Ren} and {Zixuan Sun} and {Jianping Wang} and {Blacksmith Wu} and {Zhigang Ji} and {Runsheng Wang} and {Kanyu Cao} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Reliability Physics Symposium, IRPS 2023, Monterey, CA, USA, March 26-30, 2023}
}
@inproceedings{conf/isscc/ChenWZCWZWRSJMYH23,
title = {A 22nm Delta-Sigma Computing-In-Memory (Δ∑CIM) SRAM Macro with Near-Zero-Mean Outputs and LSB-First ADCs Achieving 21.38TOPS/W for 8b-MAC Edge AI Processing.},
year = {2023},
booktitle = {ISSCC},
author = {{Peiyu Chen} and {Meng Wu} and {Wentao Zhao} and {Jiajia Cui} and {Zhixuan Wang} and {Yadong Zhang} and {Qijun Wang} and {Jiayoon Ru} and {Linxiao Shen} and {Tianyu Jia} and {Yufei Ma 002} and {Le Ye} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Solid- State Circuits Conference, ISSCC 2023, San Francisco, CA, USA, February 19-23, 2023}
}
@inproceedings{conf/isscc/GaoSLYLXCGHY23,
title = {A 7.9fJ/Conversion-Step and 37.12aFrms Pipelined-SAR Capacitance-to-Digital Converter with kT/C Noise Cancellation and Incomplete-Settling-Based Correlated Level Shifting.},
year = {2023},
booktitle = {ISSCC},
author = {{Jihang Gao} and {Linxiao Shen} and {Heyi Li} and {Siyuan Ye} and {Jie Li} and {Xinhang Xu} and {Jiajia Cui} and {Yunhung Gao} and {Ru Huang} and {Le Ye}},
publisher = {IEEE},
booktitle = {IEEE International Solid- State Circuits Conference, ISSCC 2023, San Francisco, CA, USA, February 19-23, 2023}
}
@inproceedings{conf/isscc/ZhangYRXSRHY23,
title = {A 0.954nW 32kHz Crystal Oscillator in 22nm CMOS with Gm-C-Based Current Injection Control.},
year = {2023},
booktitle = {ISSCC},
author = {{Yihan Zhang 002} and {You You} and {Wenjie Ren} and {Xinhang Xu} and {Linxiao Shen} and {Jiayoon Ru} and {Ru Huang} and {Le Ye}},
publisher = {IEEE},
booktitle = {IEEE International Solid- State Circuits Conference, ISSCC 2023, San Francisco, CA, USA, February 19-23, 2023}
}
@inproceedings{conf/micro/Zhou0LWH23,
title = {Khronos: Fusing Memory Access for Improved Hardware RTL Simulation.},
year = {2023},
booktitle = {MICRO},
author = {{Kexing Zhou} and {Yun Liang 001} and {Yibo Lin} and {Runsheng Wang} and {Ru Huang}},
publisher = {ACM},
booktitle = {Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2023, Toronto, ON, Canada, 28 October 2023 - 1 November 2023}
}
@inproceedings{conf/nips/ZengLYLWH23,
title = {CoPriv: Network/Protocol Co-Optimization for Communication-Efficient Private Inference.},
year = {2023},
booktitle = {NeurIPS},
author = {{Wenxuan Zeng} and {Meng Li} and {Haichuan Yang} and {Wen-jie Lu} and {Runsheng Wang} and {Ru Huang}},
booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023.}
}
@inproceedings{conf/vlsit/DongSYLXLCWWZWR23,
title = {Catching the Missing EM Consequence in Soft Breakdown Reliability in Advanced FinFETs: Impacts of Self-heating, On-State TDDB, and Layout Dependence.},
year = {2023},
booktitle = {VLSI Technology and Circuits},
author = {{Zuoyuan Dong} and {Zixuan Sun} and {Xin Yang} and {Xiaomei Li} and {Yongkang Xue} and {Chen Luo} and {Puyang Cai} and {Zirui Wang} and {Shuying Wang} and {Yewei Zhang} and {Chaolun Wang} and {Pengpeng Ren} and {Zhigang Ji} and {Xing Wu 005} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, June 11-16, 2023}
}
@article{journals/corr/abs-2303-12270,
title = {EBSR: Enhanced Binary Neural Network for Image Super-Resolution.},
year = {2023},
journal = {CoRR},
author = {{Renjie Wei} and {Shuwen Zhang} and {Zechun Liu} and {Meng Li 004} and {Yuchen Fan} and {Runsheng Wang} and {Ru Huang}}
}
@article{journals/corr/abs-2305-05374,
title = {HybridNet: Dual-Branch Fusion of Geometrical and Topological Views for VLSI Congestion Prediction.},
year = {2023},
journal = {CoRR},
author = {{Yuxiang Zhao} and {Zhuomin Chai} and {Yibo Lin} and {Runsheng Wang} and {Ru Huang}}
}
@article{journals/corr/abs-2307-06566,
title = {Regression-Oriented Knowledge Distillation for Lightweight Ship Orientation Angle Prediction with Optical Remote Sensing Images.},
year = {2023},
journal = {CoRR},
author = {{Zhan Shi} and {Xin Ding} and {Peng Ding} and {Chun Yang} and {Ru Huang} and {Xiaoxuan Song}}
}
@article{journals/corr/abs-2307-07695,
title = {Reducing operator complexity in Algebraic Multigrid with Machine Learning Approaches.},
year = {2023},
journal = {CoRR},
author = {{Ru Huang} and {Kai Chang} and {Huan He} and {Ruipeng Li} and {Yuanzhe Xi}}
}
@article{journals/corr/abs-2310-14049,
title = {Post-Layout Simulation Driven Analog Circuit Sizing.},
year = {2023},
journal = {CoRR},
author = {{Xiaohan Gao} and {Haoyi Zhang} and {Siyuan Ye} and {Mingjie Liu} and {David Z. Pan} and {Linxiao Shen} and {Runsheng Wang} and {Yibo Lin} and {Ru Huang}}
}
@article{journals/corr/abs-2311-01737,
title = {CoPriv: Network/Protocol Co-Optimization for Communication-Efficient Private Inference.},
year = {2023},
journal = {CoRR},
author = {{Wenxuan Zeng} and {Meng Li 004} and {Haichuan Yang} and {Wenjie Lu} and {Runsheng Wang} and {Ru Huang}}
}
@article{journals/corr/abs-2311-17752,
title = {BAND-2k: Banding Artifact Noticeable Database for Banding Detection and Quality Assessment.},
year = {2023},
journal = {CoRR},
author = {{Zijian Chen 001} and {Wei Sun 029} and {Jun Jia} and {Fangfang Lu} and {Zicheng Zhang} and {Jing Liu} and {Ru Huang} and {Xiongkuo Min} and {Guangtao Zhai}}
}
@article{journals/corr/abs-2311-18216,
title = {FS-BAND: A Frequency-Sensitive Banding Detector.},
year = {2023},
journal = {CoRR},
author = {{Zijian Chen 001} and {Wei Sun} and {Zicheng Zhang} and {Ru Huang} and {Fangfang Lu} and {Xiongkuo Min} and {Guangtao Zhai} and {Wenjun Zhang 001}}
}
@article{journals/tcasI/Liu0HWSRHY23,
title = {An 82-nW 0.53-pJ/SOP Clock-Free Spiking Neural Network With 40-μs Latency for AIoT Wake-Up Functions Using a Multilevel-Event-Driven Bionic Architecture and Computing-in-Memory Technique.},
year = {2023},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Ying Liu} and {Yufei Ma 002} and {Wei He} and {Zhixuan Wang} and {Linxiao Shen} and {Jiayoon Ru} and {Ru Huang} and {Le Ye}}
}
@article{journals/tnse/HuangCZHC23,
title = {A Graph Entropy Measure From Urelement to Higher-Order Graphlets for Network Analysis.},
year = {2023},
journal = {IEEE Trans. Netw. Sci. Eng.},
author = {{Ru Huang} and {Zijian Chen 001} and {Guangtao Zhai} and {Jianhua He} and {Xiaoli Chu}}
}
@article{journals/tcasII/ZhangZLJWH23,
title = {Efficient Aging-Aware Standard Cell Library Characterization Based on Sensitivity Analysis.},
year = {2023},
month = {February},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Xinfa Zhang} and {Zuodong Zhang} and {Yibo Lin} and {Zhigang Ji} and {Runsheng Wang} and {Ru Huang}}
}
@article{journals/neuromorphic/FanFTJHLZYYH23,
title = {Hadamard product-based in-memory computing design for floating point neural network training.},
year = {2023},
month = {March},
journal = {Neuromorph. Comput. Eng.},
author = {{Anjunyi Fan} and {Yihan Fu} and {Yaoyu Tao} and {Zhonghua Jin} and {Haiyue Han} and {Huiyu Liu} and {Yaojun Zhang} and {Bonan Yan} and {Yuchao Yang} and {Ru Huang}}
}
@article{journals/tcad/GaoZLSPLWH23,
title = {Interactive Analog Layout Editing With Instant Placement and Routing Legalization.},
year = {2023},
month = {March},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Xiaohan Gao} and {Haoyi Zhang} and {Mingjie Liu} and {Linxiao Shen} and {David Z. Pan} and {Yibo Lin} and {Runsheng Wang} and {Ru Huang}}
}
@article{journals/tcad/LiuRCJLWZH23,
title = {Equiprobability-Based Local Response Surface Method for High-Sigma Yield Estimation With Both High Accuracy and Efficiency.},
year = {2023},
month = {April},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Xiang Liu} and {Pengpeng Ren} and {Hai-Bao Chen} and {Zhigang Ji} and {Junhua Liu} and {Runsheng Wang} and {Jianfu Zhang 001} and {Ru Huang}}
}
@article{journals/tcasI/SongTQWWH23,
title = {A 28 nm 16 Kb Bit-Scalable Charge-Domain Transpose 6T SRAM In-Memory Computing Macro.},
year = {2023},
month = {May},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Jiahao Song} and {Xiyuan Tang} and {Xin Qiao} and {Yuan Wang 001} and {Runsheng Wang} and {Ru Huang}}
}
@article{journals/chinaf/LiangZWZZLHH23,
title = {Experimental investigation of a novel junction-modulated hetero-layer tunnel FET with the striped gate for low power applications.},
year = {2023},
month = {June},
journal = {Sci. China Inf. Sci.},
author = {{Zhongxin Liang} and {Yang Zhao} and {Kaifeng Wang} and {Jieyin Zhang} and {Jianjun Zhang} and {Ming Li} and {Ru Huang} and {Qianqian Huang}}
}
@article{journals/siamsc/HuangLX23,
title = {Learning Optimal Multigrid Smoothers via Neural Networks.},
year = {2023},
month = {June},
journal = {SIAM J. Sci. Comput.},
author = {{Ru Huang} and {Ruipeng Li} and {Yuanzhe Xi}}
}
@article{journals/tcasII/ZuoSH23,
title = {Extremely-Fast, Energy-Efficient Massive MIMO Precoding With Analog RRAM Matrix Computing.},
year = {2023},
month = {July},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Pushen Zuo} and {Zhong Sun} and {Ru Huang}}
}
@article{journals/aisy/YangZLDXYH23,
title = {Neuromorphic Artificial Vision Systems Based on Reconfigurable Ion-Modulated Memtransistors.},
year = {2023},
month = {August},
journal = {Adv. Intell. Syst.},
author = {{Zhen Yang} and {Teng Zhang} and {Keqin Liu} and {Bingjie Dang} and {Liying Xu} and {Yuchao Yang} and {Ru Huang}}
}
@article{journals/displays/HuangFCHC23,
title = {Exploring network reliability by predicting link status based on simplex neural network.},
year = {2023},
month = {September},
journal = {Displays},
author = {{Ru Huang} and {Moran Feng} and {Zijian Chen 001} and {Jianhua He} and {Xiaoli Chu}}
}
@article{journals/chinaf/YanYH23,
title = {Memristive dynamics enabled neuromorphic computing systems.},
year = {2023},
month = {October},
journal = {Sci. China Inf. Sci.},
author = {{Bonan Yan} and {Yuchao Yang} and {Ru Huang}}
}
@article{journals/chinaf/YeWJMSZLCWLJZH23,
title = {Research progress on low-power artificial intelligence of things (AIoT) chip design.},
year = {2023},
month = {October},
journal = {Sci. China Inf. Sci.},
author = {{Le Ye} and {Zhixuan Wang} and {Tianyu Jia} and {Yufei Ma 002} and {Linxiao Shen} and {Yihan Zhang 002} and {Heyi Li} and {Peiyu Chen} and {Meng Wu} and {Ying Liu} and {Yiqi Jing} and {Hao Zhang} and {Ru Huang}}
}
@article{journals/tcad/ZhangGLLWH23,
title = {AVATAR: An Aging- and Variation-Aware Dynamic Timing Analyzer for Error-Efficient Computing.},
year = {2023},
month = {November},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Zuodong Zhang} and {Zizheng Guo} and {Yibo Lin} and {Meng Li 004} and {Runsheng Wang} and {Ru Huang}}
}
@article{journals/tcad/ChaiZLLWH23,
title = {CircuitNet: An Open-Source Dataset for Machine Learning in VLSI CAD Applications With Improved Domain-Specific Evaluation Metric and Learning Strategies.},
year = {2023},
month = {December},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Zhuomin Chai} and {Yuxiang Zhao} and {Wei Liu} and {Yibo Lin} and {Runsheng Wang} and {Ru Huang}}
}
@article{journals/tcad/DaiLRPZWH23,
title = {Statistical Compact Modeling With Artificial Neural Networks.},
year = {2023},
month = {December},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Wu Dai} and {Yu Li} and {Zhao Rong} and {Baokang Peng} and {Lining Zhang} and {Runsheng Wang} and {Ru Huang}}
}
@inproceedings{conf/isscc/ChenSYGLCXLZYH24,
title = {9.4 A 182.3dB FoMs 50MS/s Pipelined-SAR ADC using Cascode Capacitively Degenerated Dynamic Amplifier and MSB Pre-Conversion Technique.},
year = {2024},
booktitle = {ISSCC},
author = {{Zhuoyi Chen} and {Linxiao Shen} and {Siyuan Ye} and {Jihang Gao} and {Jie Li} and {Jiajia Cui} and {Xinhang Xu} and {Yaohui Luan} and {Hao Zhang} and {Le Ye} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2024, San Francisco, CA, USA, February 18-22, 2024}
}
@inproceedings{conf/isscc/LiuMSZCWRJYWH24,
title = {30.2 A 22nm 0.26nW/Synapse Spike-Driven Spiking Neural Network Processing Unit Using Time-Step-First Dataflow and Sparsity-Adaptive In-Memory Computing.},
year = {2024},
booktitle = {ISSCC},
author = {{Ying Liu} and {Yufei Ma 002} and {Ninghui Shang} and {Tianhao Zhao} and {Peiyu Chen} and {Meng Wu} and {Jiayoon Ru} and {Tianyu Jia} and {Le Ye} and {Zhixuan Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2024, San Francisco, CA, USA, February 18-22, 2024}
}
@inproceedings{conf/isscc/SongWTXLYWWH24,
title = {30.5 A Variation-Tolerant In-eDRAM Continuous-Time Ising Machine Featuring 15-Level Coefficients and Leaked Negative-Feedback Annealing.},
year = {2024},
booktitle = {ISSCC},
author = {{Jiahao Song} and {Zihan Wu} and {Xiyuan Tang} and {Bocheng Xu} and {Haoyang Luo} and {Youming Yang} and {Yuan Wang 001} and {Runsheng Wang} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2024, San Francisco, CA, USA, February 18-22, 2024}
}
@inproceedings{conf/isscc/XuYLGLCZHSY24,
title = {3.10 A 0.69/0.58-PEF 1.6nW/24nW Capacitively Coupled Chopper Instrumentation Amplifier with an Input-Boosted First Stage in 22nm/180nm CMOS.},
year = {2024},
booktitle = {ISSCC},
author = {{Xinhang Xu} and {Siyuan Ye} and {Yaohui Luan} and {Jihang Gao} and {Jie Li} and {Jiajia Cui} and {Hao Zhang} and {Ru Huang} and {Linxiao Shen} and {Le Ye}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2024, San Francisco, CA, USA, February 18-22, 2024}
}
@inproceedings{conf/isscc/YeSGLCXCZZYH24,
title = {9.1 A 2mW 70.7dB SNDR 200MS/s Pipelined-SAR ADC with Continuous-Time SAR-Assisted Detect-and-Skip and Open-then-Close Correlated Level Shifting.},
year = {2024},
booktitle = {ISSCC},
author = {{Siyuan Ye} and {Linxiao Shen} and {Jihang Gao} and {Jie Li} and {Zhuoyi Chen} and {Xinhang Xu} and {Jiajia Cui} and {Hao Zhang} and {Xing Zhang} and {Le Ye} and {Ru Huang}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2024, San Francisco, CA, USA, February 18-22, 2024}
}
@article{journals/corr/abs-2401-10042,
title = {BlockAMC: Scalable In-Memory Analog Matrix Computing for Solving Linear Systems.},
year = {2024},
journal = {CoRR},
author = {{Lunshuai Pan} and {Pushen Zuo} and {Yubiao Luo} and {Zhong Sun} and {Ru Huang}}
}
@article{journals/corr/abs-2401-11459,
title = {AttentionLego: An Open-Source Building Block For Spatially-Scalable Large Language Model Accelerator With Processing-In-Memory Technology.},
year = {2024},
journal = {CoRR},
author = {{Rongqing Cong} and {Wenyang He} and {Mingxuan Li} and {Bangning Luo} and {Zebin Yang} and {Yuchao Yang} and {Ru Huang} and {Bonan Yan}}
}
@article{journals/corr/abs-2401-15332,
title = {Efficient yet Accurate End-to-End SC Accelerator Design.},
year = {2024},
journal = {CoRR},
author = {{Meng Li} and {Yixuan Hu} and {Tengyu Zhang} and {Renjie Wei} and {Yawen Zhang} and {Ru Huang} and {Runsheng Wang}}
}
@article{journals/corr/abs-2402-12820,
title = {ASCEND: Accurate yet Efficient End-to-End Stochastic Computing Acceleration of Vision Transformer.},
year = {2024},
journal = {CoRR},
author = {{Tong Xie} and {Yixuan Hu} and {Renjie Wei} and {Meng Li} and {Yuan Wang 001} and {Runsheng Wang} and {Ru Huang}}
}
@article{journals/corr/abs-2402-13485,
title = {ProPD: Dynamic Token Tree Pruning and Generation for LLM Parallel Decoding.},
year = {2024},
journal = {CoRR},
author = {{Shuzhang Zhong} and {Zebin Yang} and {Meng Li} and {Ruihao Gong} and {Runsheng Wang} and {Ru Huang}}
}
@article{journals/jssc/LiDBDRXZWZSYH24,
title = {A 0.39-mm2 Stacked Standard-CMOS Humidity Sensor Using a Charge-Redistribution Correlated Level Shifting Floating Inverter Amplifier and a VCO-Based Zoom CDC.},
year = {2024},
month = {February},
journal = {IEEE J. Solid State Circuits},
author = {{Heyi Li} and {Kaixuan Du} and {Yuanxin Bao} and {Yanchi Dong} and {Jiayoon Ru} and {Han Xiao 006} and {Hao Zhang} and {Zhixuan Wang} and {Yi Zhong} and {Linxiao Shen} and {Le Ye} and {Ru Huang}}
}
@article{journals/jssc/WangZLLWHCLYH24,
title = {Design and Implementation of a Hybrid, ADC/DAC-Free, Input-Sparsity-Aware, Precision Reconfigurable RRAM Processing-in-Memory Chip.},
year = {2024},
month = {February},
journal = {IEEE J. Solid State Circuits},
author = {{Junjie Wang 008} and {Teng Zhang} and {Shuang Liu} and {Yihe Liu} and {Yuancong Wu} and {Shaogang Hu} and {Tupei Chen} and {Yang Liu 062} and {Yuchao Yang} and {Ru Huang}}
}
@article{journals/tcasI/FuSFYYHY24,
title = {Probabilistic Compute-in-Memory Design for Efficient Markov Chain Monte Carlo Sampling.},
year = {2024},
month = {February},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Yihan Fu} and {Daijing Shi} and {Anjunyi Fan} and {Wenshuo Yue} and {Yuchao Yang} and {Ru Huang} and {Bonan Yan}}
}
@article{journals/jssc/SongTLZQSYWWWH24,
title = {A 4-bit Calibration-Free Computing-In-Memory Macro With 3T1C Current-Programed Dynamic-Cascode Multi-Level-Cell eDRAM.},
year = {2024},
month = {March},
journal = {IEEE J. Solid State Circuits},
author = {{Jiahao Song} and {Xiyuan Tang} and {Haoyang Luo} and {Haoyi Zhang} and {Xin Qiao} and {Zixuan Sun} and {Xiangxing Yang} and {Zihan Wu} and {Yuan Wang 001} and {Runsheng Wang} and {Ru Huang}}
}