% csauthors.net - beta - BibTeX bibliography of SeongHwan Cho
@phdthesis{phd/ndltd/Cho02,
title = {Energy efficient radio frequency communication systems for wireless microsensors.},
year = {2002},
author = {{SeongHwan Cho}}
}
@article{journals/tcasII/ManganaroKCP03,
title = {A behavioral modeling approach to the design of a low jitter clock source.},
year = {2003},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Gabriele Manganaro} and {Sung-Ung Kwak} and {SeongHwan Cho} and {Anurag Pulincherry}}
}
@article{journals/jssc/ChoC04,
title = {A 6.5-GHz energy-efficient BFSK modulator for wireless sensor applications.},
year = {2004},
journal = {IEEE J. Solid State Circuits},
author = {{SeongHwan Cho} and {Anantha P. Chadrakasan}}
}
@inproceedings{conf/icc/ChoK05,
title = {Variable bandwidth allocation scheme for energy efficient wireless sensor network.},
year = {2005},
booktitle = {ICC},
author = {{SeongHwan Cho} and {Kee-Eung Kim}},
publisher = {IEEE},
booktitle = {Proceedings of IEEE International Conference on Communications, ICC 2005, Seoul, Korea, 16-20 May 2005}
}
@inproceedings{conf/iscas/ChoOLL05,
title = {A low power pipelined analog-to-digital converter using series sampling capacitors.},
year = {2005},
booktitle = {ISCAS (6)},
author = {{SeongHwan Cho} and {Sungmin Ock} and {Sang-Hoon Lee} and {Joonsuk Lee}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan}
}
@inproceedings{conf/iscas/KimC06,
title = {A time-based analog-to-digital converter using a multi-phase voltage controlled oscillator.},
year = {2006},
booktitle = {ISCAS},
author = {{Jaewook Kim} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece}
}
@inproceedings{conf/iscas/ParkC06,
title = {A power-optimized CMOS LC VCO with wide tuning range in 0.5-V supply.},
year = {2006},
booktitle = {ISCAS},
author = {{Dongmin Park} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece}
}
@inproceedings{conf/pimrc/LeeC06,
title = {A Low Power Transmitter for Phase-Shift Keying Modulation Schemes.},
year = {2006},
booktitle = {PIMRC},
author = {{Jaewon Lee} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE 17th International Symposium on Personal, Indoor and Mobile Radio Communications, PIMRC 2006, 11-14 September 2006, Helsiniki, Finland}
}
@article{journals/tcas/LeeC07,
title = {A Quadrature Modulation Transmitter Using Two Frequency Synthesizers.},
year = {2007},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Jaewon Lee} and {SeongHwan Cho}}
}
@inproceedings{conf/iscas/KimCPSKC08,
title = {An ultra low power UHF RFID tag front-end for EPCglobal Gen2 with novel clock-free decoder.},
year = {2008},
booktitle = {ISCAS},
author = {{Sung-Jin Kim} and {Min-Chang Cho} and {Joonhyun Park} and {Kisuk Song} and {Yul Kim} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, USA}
}
@article{journals/tcas/YoonKJC08,
title = {A Time-Based Bandpass ADC Using Time-Interleaved Voltage-Controlled Oscillators.},
year = {2008},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Young-Gyu Yoon} and {Jaewook Kim} and {Tae-Kwang Jang} and {SeongHwan Cho}}
}
@inproceedings{conf/iscas/ChoKC09a,
title = {A Bio-impedance Measurement System for Portable Monitoring of Heart Rate and Pulse Wave Velocity using Small Body Area.},
year = {2009},
booktitle = {ISCAS},
author = {{Min-Chang Cho} and {Jee-Yeon Kim} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2009), 24-17 May 2009, Taipei, Taiwan}
}
@inproceedings{conf/iscas/ParkMC09,
title = {A 95nW Ring Oscillator-based Temperature Sensor for RFID Tags in 0.13µm CMOS.},
year = {2009},
booktitle = {ISCAS},
author = {{Sunghyun Park} and {Changwook Min} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2009), 24-17 May 2009, Taipei, Taiwan}
}
@article{journals/ieicet/LeeKJLC09,
title = {A Low-Jitter Area-Efficient LC-VCO Based Clock Generator in 0.13-µm CMOS.},
year = {2009},
journal = {IEICE Trans. Electron.},
author = {{Joonhee Lee} and {Sungjun Kim} and {Sehyung Jeon} and {Woojae Lee} and {SeongHwan Cho}}
}
@article{journals/jssc/YooC09,
title = {Introduction to the Special Section on the 2008 Asian Solid-State Circuits Conference (A-SSCC'08).},
year = {2009},
journal = {IEEE J. Solid State Circuits},
author = {{Hoi-Jun Yoo} and {SeongHwan Cho}}
}
@inproceedings{conf/apccas/LeeCC10,
title = {CMRR enhancement technique for IA using three IAs for bio-medical sensor applications.},
year = {2010},
booktitle = {APCCAS},
author = {{Woojae Lee} and {Min-Chang Cho} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}
}
@inproceedings{conf/iscas/KimLC10,
title = {A 10-bit 300MSample/s pipelined ADC using time-interleaved SAR ADC for front-end stages.},
year = {2010},
booktitle = {ISCAS},
author = {{Young-Hwa Kim} and {Jaewon Lee} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2010), May 30 - June 2, 2010, Paris, France}
}
@inproceedings{conf/iscas/LeeC10,
title = {A 2.4-GHz reference doubled fractional-N PLL with dual phase detector in 0.13-μm CMOS.},
year = {2010},
booktitle = {ISCAS},
author = {{Woojae Lee} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2010), May 30 - June 2, 2010, Paris, France}
}
@inproceedings{conf/iscas/LeeC10a,
title = {A background KDCO compensation technique for constant bandwidth in all-digital phase-locked loop.},
year = {2010},
booktitle = {ISCAS},
author = {{Sung-Pah Lee} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {International Symposium on Circuits and Systems (ISCAS 2010), May 30 - June 2, 2010, Paris, France}
}
@article{journals/ieicet/KimCC10,
title = {An Ultra Low Power and Variation Tolerant GEN2 RFID Tag Front-End with Novel Clock-Free Decoder.},
year = {2010},
journal = {IEICE Trans. Electron.},
author = {{Sung-Jin Kim} and {Min-Chang Cho} and {SeongHwan Cho}}
}
@article{journals/tcas/KimJYC10,
title = {Analysis and Design of Voltage-Controlled Oscillator Based Analog-to-Digital Converter.},
year = {2010},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Jaewook Kim} and {Tae-Kwang Jang} and {Young-Gyu Yoon} and {SeongHwan Cho}}
}
@inproceedings{conf/asicon/KimC11,
title = {A time-domain flash ADC immune to voltage controlled delay line non-linearity.},
year = {2011},
booktitle = {ASICON},
author = {{Young-Hwa Kim} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {2011 IEEE 9th International Conference on ASIC, ASICON 2011, Xiamen, China, October 25-28, 2011}
}
@inproceedings{conf/cicc/ParkPC11,
title = {A fractional-N frequency synthesizer using high-OSR delta-sigma modulator and nested-PLL.},
year = {2011},
booktitle = {CICC},
author = {{Pyoungwon Park} and {Dongmin Park} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {2011 IEEE Custom Integrated Circuits Conference, CICC 2011, San Jose, CA, USA, Sept. 19-21, 2011}
}
@inproceedings{conf/isscc/KimYYC11,
title = {A digital-intensive receiver front-end using VCO-based ADC with an embedded 2nd-Order anti-aliasing Sinc filter in 90nm CMOS.},
year = {2011},
booktitle = {ISSCC},
author = {{Jaewook Kim} and {Wonsik Yu} and {Hyun-Kyu Yu} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}
}
@article{journals/tvlsi/LeePC11,
title = {A 470-µW 5-GHz Digitally Controlled Injection-Locked Multi-Modulus Frequency Divider With an In-Phase Dual-Input Injection Scheme.},
year = {2011},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Joonhee Lee} and {Sunghyun Park} and {SeongHwan Cho}}
}
@inproceedings{conf/isscc/ChangC12a,
title = {Session 19 overview: 20+ Gb/s wireline transceivers and injection-locked clocking: Wireline subcommittee.},
year = {2012},
booktitle = {ISSCC},
author = {{Ken Chang} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {2012 IEEE International Solid-State Circuits Conference, ISSCC 2012, San Francisco, CA, USA, February 19-23, 2012}
}
@inproceedings{conf/isscc/FujimoriCFS12,
title = {Optical PCB interconnects, Niche or mainstream?},
year = {2012},
booktitle = {ISSCC},
author = {{Ichiro Fujimori} and {SeongHwan Cho} and {Joshua Friedrich} and {John T. Stonick}},
publisher = {IEEE},
booktitle = {2012 IEEE International Solid-State Circuits Conference, ISSCC 2012, San Francisco, CA, USA, February 19-23, 2012}
}
@inproceedings{conf/isscc/ParkC12,
title = {A 14.2mW 2.55-to-3GHz cascaded PLL with reference injection, 800MHz delta-sigma modulator and 255fsrms integrated jitter in 0.13μm CMOS.},
year = {2012},
booktitle = {ISSCC},
author = {{Dongmin Park} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {2012 IEEE International Solid-State Circuits Conference, ISSCC 2012, San Francisco, CA, USA, February 19-23, 2012}
}
@inproceedings{conf/isscc/ParkPPC12,
title = {An all-digital clock generator using a fractionally injection-locked oscillator in 65nm CMOS.},
year = {2012},
booktitle = {ISSCC},
author = {{Pyoungwon Park} and {Jaejin Park} and {Hojin Park} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {2012 IEEE International Solid-State Circuits Conference, ISSCC 2012, San Francisco, CA, USA, February 19-23, 2012}
}
@inproceedings{conf/vlsic/KimKYC12,
title = {A 7b, 3.75ps resolution two-step time-to-digital converter in 65nm CMOS using pulse-train time amplifier.},
year = {2012},
booktitle = {VLSIC},
author = {{KwangSeok Kim} and {Young-Hwa Kim} and {Wonsik Yu} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {Symposium on VLSI Circuits, VLSIC 2012, Honolulu, HI, USA, June 13-15, 2012}
}
@article{journals/esticas/LeeLC12,
title = {A High-Frequency Compensated Crosstalk and ISI Equalizer for Multi-Channel On-Chip Interconnect in 130-nm CMOS.},
year = {2012},
journal = {IEEE J. Emerg. Sel. Topics Circuits Syst.},
author = {{Jaewon Lee} and {Woojae Lee} and {SeongHwan Cho}}
}
@article{journals/jssc/LeeC12,
title = {A 1.4-µW 24.9-ppm/°C Current Reference With Process-Insensitive Temperature Compensation in 0.18-µm CMOS.},
year = {2012},
journal = {IEEE J. Solid State Circuits},
author = {{Junghyup Lee} and {SeongHwan Cho}}
}
@article{journals/jssc/ParkC12,
title = {A 14.2 mW 2.55-to-3 GHz Cascaded PLL With Reference Injection and 800 MHz Delta-Sigma Modulator in 0.13 μ m CMOS.},
year = {2012},
journal = {IEEE J. Solid State Circuits},
author = {{Dongmin Park} and {SeongHwan Cho}}
}
@article{journals/jssc/ParkPC12,
title = {A 2.4 GHz Fractional-N Frequency Synthesizer With High-OSR ΔΣ Modulator and Nested PLL.},
year = {2012},
journal = {IEEE J. Solid State Circuits},
author = {{Pyoungwon Park} and {Dongmin Park} and {SeongHwan Cho}}
}
@article{journals/tcas/LeeLC12,
title = {A 2.5-Gb/s On-Chip Interconnect Transceiver With Crosstalk and ISI Equalizer in 130 nm CMOS.},
year = {2012},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Jaewon Lee} and {Woojae Lee} and {SeongHwan Cho}}
}
@article{journals/tvlsi/JangKYC12,
title = {A Highly-Digital VCO-Based Analog-to-Digital Converter Using Phase Interpolator and Digital Calibration.},
year = {2012},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Tae-Kwang Jang} and {Jaewook Kim} and {Young-Gyu Yoon} and {SeongHwan Cho}}
}
@inproceedings{conf/cicc/YuKC13,
title = {A 148fsrms integrated noise 4MHz bandwidth all-digital second-order ΔΣ time-to-digital converter using gated switched-ring oscillator.},
year = {2013},
booktitle = {CICC},
author = {{Wonsik Yu} and {KwangSeok Kim} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, CICC 2013, San Jose, CA, USA, September 22-25, 2013}
}
@inproceedings{conf/isscc/LiscidiniCCKKOPS13,
title = {F5: Frequency generation and clock distribution.},
year = {2013},
booktitle = {ISSCC},
author = {{Antonio Liscidini} and {SeongHwan Cho} and {Tony Chan Carusone} and {Tanay Karnik} and {Mike Keaveney} and {Brian Otis} and {Aaron Partridge} and {Christoph Sandner}},
publisher = {IEEE},
booktitle = {2013 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, ISSCC 2013, San Francisco, CA, USA, February 17-21, 2013}
}
@article{journals/jssc/KimKYC13,
title = {A 7 bit, 3.75 ps Resolution Two-Step Time-to-Digital Converter in 65 nm CMOS Using Pulse-Train Time Amplifier.},
year = {2013},
journal = {IEEE J. Solid State Circuits},
author = {{KwangSeok Kim} and {Young-Hwa Kim} and {Wonsik Yu} and {SeongHwan Cho}}
}
@article{journals/tcas/YuKKC13,
title = {A Time-Domain High-Order MASH ΔΣ ADC Using Voltage-Controlled Gated-Ring Oscillator.},
year = {2013},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Wonsik Yu} and {Jaewook Kim} and {KwangSeok Kim} and {SeongHwan Cho}}
}
@article{journals/jssc/KimYC14,
title = {A 9 bit, 1.12 ps Resolution 2.5 b/Stage Pipelined Time-to-Digital Converter in 65 nm CMOS Using Time-Register.},
year = {2014},
journal = {IEEE J. Solid State Circuits},
author = {{KwangSeok Kim} and {Wonsik Yu} and {SeongHwan Cho}}
}
@article{journals/tcas/YuKC14,
title = {A 148fsrms Integrated Noise 4 MHz Bandwidth Second-Order ΔΣ Time-to-Digital Converter With Gated Switched-Ring Oscillator.},
year = {2014},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Wonsik Yu} and {KwangSeok Kim} and {SeongHwan Cho}}
}
@inproceedings{conf/isscc/KimSKJKPC15,
title = {14.4 A 5GHz -95dBc-reference-Spur 9.5mW digital fractional-N PLL using reference-multiplied time-to-digital converter and reference-spur cancellation in 65nm CMOS.},
year = {2015},
booktitle = {ISSCC},
author = {{Hyojun Kim} and {Jinwoo Sang} and {Hyunik Kim} and {Youngwoo Jo} and {Taeik Kim} and {Hojin Park} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {2015 IEEE International Solid-State Circuits Conference, ISSCC 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}
}
@inproceedings{conf/isscc/LeePCJ15,
title = {5.10 A 4.7MHz 53μW fully differential CMOS reference clock oscillator with -22dB worst-case PSNR for miniaturized SoCs.},
year = {2015},
booktitle = {ISSCC},
author = {{Junghyup Lee} and {Pyoungwon Park} and {SeongHwan Cho} and {Minkyu Je}},
publisher = {IEEE},
booktitle = {2015 IEEE International Solid-State Circuits Conference, ISSCC 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}
}
@article{journals/jssc/LeeC15,
title = {Integrated All Electrical Pulse Wave Velocity and Respiration Sensors Using Bio-Impedance.},
year = {2015},
journal = {IEEE J. Solid State Circuits},
author = {{Woojae Lee} and {SeongHwan Cho}}
}
@article{journals/jssc/YuKC15,
title = {A 0.22 ps rms Integrated Noise 15 MHz Bandwidth Fourth-Order ΔΣ Time-to-Digital Converter Using Time-Domain Error-Feedback Filter.},
year = {2015},
journal = {IEEE J. Solid State Circuits},
author = {{Wonsik Yu} and {KwangSeok Kim} and {SeongHwan Cho}}
}
@article{journals/tcas/KimKKYC15,
title = {A Hybrid-Domain Two-Step Time-to-Digital Converter Using a Switch-Based Time-to-Voltage Converter and SAR ADC.},
year = {2015},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Jungho Kim} and {Young-Hwa Kim} and {KwangSeok Kim} and {Wonsik Yu} and {SeongHwan Cho}}
}
@inproceedings{conf/isscc/KimKKPC16,
title = {19.3 A 2.4GHz 1.5mW digital MDLL using pulse-width comparator and double injection technique in 28nm CMOS.},
year = {2016},
booktitle = {ISSCC},
author = {{Hyunik Kim} and {Yongjo Kim} and {Taeik Kim} and {Hojin Park} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {2016 IEEE International Solid-State Circuits Conference, ISSCC 2016, San Francisco, CA, USA, January 31 - February 4, 2016}
}
@inproceedings{conf/isscc/SpiegelCD16,
title = {ES1: Student research preview.},
year = {2016},
booktitle = {ISSCC},
author = {{Jan Van der Spiegel} and {SeongHwan Cho} and {Denis Daly}},
publisher = {IEEE},
booktitle = {2016 IEEE International Solid-State Circuits Conference, ISSCC 2016, San Francisco, CA, USA, January 31 - February 4, 2016}
}
@article{journals/jssc/FlynnAC16,
title = {New Associate Editors.},
year = {2016},
journal = {IEEE J. Solid State Circuits},
author = {{Michael P. Flynn} and {Pietro Andreani} and {SeongHwan Cho}}
}
@article{journals/tvlsi/KimC16,
title = {A 1-GS/s 9-bit Zero-Crossing-Based Pipeline ADC Using a Resistor as a Current Source.},
year = {2016},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Young-Hwa Kim} and {SeongHwan Cho}}
}
@inproceedings{conf/cicc/LeeKC17,
title = {A 255nW ultra-high input impedance analog front-end for non-contact ECG monitoring.},
year = {2017},
booktitle = {CICC},
author = {{JinSeok Lee} and {Hyojun Kim} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {2017 IEEE Custom Integrated Circuits Conference, CICC 2017, Austin, TX, USA, April 30 - May 3, 2017}
}
@inproceedings{conf/isscc/ChoD17,
title = {EE1: Student Research Preview.},
year = {2017},
booktitle = {ISSCC},
author = {{SeongHwan Cho} and {Denis Daly}},
publisher = {IEEE},
booktitle = {2017 IEEE International Solid-State Circuits Conference, ISSCC 2017, San Francisco, CA, USA, February 5-9, 2017}
}
@article{journals/jssc/KimKKKC17,
title = {A 2.4-GHz 1.5-mW Digital Multiplying Delay-Locked Loop Using Pulsewidth Comparator and Double Injection Technique.},
year = {2017},
journal = {IEEE J. Solid State Circuits},
author = {{Hyunik Kim} and {Yongjo Kim} and {Taeik Kim} and {Hyung Jong Ko} and {SeongHwan Cho}}
}
@article{journals/tcas/KimSKC17,
title = {A 2.3-mW 0.01-mm2 1.25-GHz Quadrature Signal Corrector With 1.1-ps Error for Mobile DRAM Interface in 65-nm CMOS.},
year = {2017},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Yongjo Kim} and {Keunsoo Song} and {Dongkyun Kim} and {SeongHwan Cho}}
}
@inproceedings{conf/aspdac/KimC18,
title = {A supply noise insensitive PLL with a rail-to-rail swing ring oscillator and a wideband noise suppression loop.},
year = {2018},
booktitle = {ASP-DAC},
author = {{Dongin Kim} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {23rd Asia and South Pacific Design Automation Conference, ASP-DAC 2018, Jeju, Korea (South), January 22-25, 2018}
}
@inproceedings{conf/isscc/JangC18,
title = {A 43.4μW photoplethysmogram-based heart-rate sensor using heart-beat-locked loop.},
year = {2018},
booktitle = {ISSCC},
author = {{Do-Hun Jang} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {2018 IEEE International Solid-State Circuits Conference, ISSCC 2018, San Francisco, CA, USA, February 11-15, 2018}
}
@inproceedings{conf/vlsic/ParkLC18,
title = {A 2.69UW Dual Quantization-Based Capacitance-to-Digital Converter for Pressure, Humidity, and Acceleration Sensing in 0.18UM CMOS.},
year = {2018},
booktitle = {VLSI Circuits},
author = {{Sujin Park} and {Geon-Hwi Lee} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {2018 IEEE Symposium on VLSI Circuits, Honolulu, HI, USA, June 18-22, 2018}
}
@article{journals/jssc/LeeLKC18,
title = {An Ultra-High Input Impedance Analog Front End Using Self-Calibrated Positive Feedback.},
year = {2018},
journal = {IEEE J. Solid State Circuits},
author = {{JinSeok Lee} and {Geon-Hwi Lee} and {Hyojun Kim} and {SeongHwan Cho}}
}
@article{journals/tbcas/LeeJPC18,
title = {A Low-Power Photoplethysmogram-Based Heart Rate Sensor Using Heartbeat Locked Loop.},
year = {2018},
journal = {IEEE Trans. Biomed. Circuits Syst.},
author = {{JinSeok Lee} and {Do-Hun Jang} and {Sujin Park} and {SeongHwan Cho}}
}
@article{journals/tvlsi/JoKC18,
title = {A 3.2-GHz Supply Noise-Insensitive PLL Using a Gate-Voltage-Boosted Source-Follower Regulator and Residual Noise Cancellation.},
year = {2018},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Youngwoo Jo} and {Hyojun Kim} and {SeongHwan Cho}}
}
@inproceedings{conf/apccas/LeePC19,
title = {An On-Off Keying LC Oscillator-Based Acoustic Transmitter with Fast Turn-On and Turn-Off Time.},
year = {2019},
booktitle = {APCCAS},
author = {{Eunseok Lee 002} and {Sujin Park} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {2019 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2019, Bangkok, Thailand, November 11-14, 2019}
}
@inproceedings{conf/isocc/LeePKC19,
title = {A Low-Power Piezoelectric Speaker Driver Using LC Oscillator for Acoustic Communication.},
year = {2019},
booktitle = {ISOCC},
author = {{Eunseok Lee 002} and {Sujin Park} and {Nahmil Koo} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {2019 International SoC Design Conference, ISOCC 2019, Jeju, Korea (South), October 6-9, 2019}
}
@inproceedings{conf/isscc/KooC19,
title = {A 27.8μW Biopotential Amplifier Tolerant to 30Vpp Common-Mode Interference for Two-Electrode ECG Recording in 0.18μm CMOS.},
year = {2019},
booktitle = {ISSCC},
author = {{Nahmil Koo} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {IEEE International Solid- State Circuits Conference, ISSCC 2019, San Francisco, CA, USA, February 17-21, 2019}
}
@article{journals/jssc/ParkLC19,
title = {A 2.92-µW Capacitance-to-Digital Converter With Differential Bondwire Accelerometer, On-Chip Air Pressure, and Humidity Sensor in 0.18-µm CMOS.},
year = {2019},
journal = {IEEE J. Solid State Circuits},
author = {{Sujin Park} and {Geon-Hwi Lee} and {SeongHwan Cho}}
}
@article{journals/tcas/JungSC19,
title = {An On-Chip Thermal Monitoring System With a Temperature Sensing Area of 52 µm2 in 180-nm CMOS.},
year = {2019},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Dong-Kyun Jung} and {Jin-O. Seo} and {SeongHwan Cho}}
}
@article{journals/tcas/KimC19,
title = {A Hybrid PLL Using Low-Power GRO-TDC for Reduced In-Band Phase Noise.},
year = {2019},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Dongin Kim} and {SeongHwan Cho}}
}
@article{journals/tcas/KimKYC19,
title = {A Second-Order ΔΣ Time-to-Digital Converter Using Highly Digital Time-Domain Arithmetic Circuits.},
year = {2019},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Dongin Kim} and {KwangSeok Kim} and {Wonsik Yu} and {SeongHwan Cho}}
}
@inproceedings{conf/aspdac/ParkLOC20,
title = {A Capacitance-to-Digital Converter with Differential Bondwire Accelerometer, On-chip Air Pressure and Humidity Sensor in 0.18 μm CMOS.},
year = {2020},
booktitle = {ASP-DAC},
author = {{Sujin Park} and {Geon-Hwi Lee} and {Seungmin Oh} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {25th Asia and South Pacific Design Automation Conference, ASP-DAC 2020, Beijing, China, January 13-16, 2020}
}
@inproceedings{conf/asscc/KimC21,
title = {An Adaptive Clocking System using Supply Tracking Clock Modulator with Background Calibrated Supply-Sensitivity in 28nm CMOS.},
year = {2021},
booktitle = {A-SSCC},
author = {{Dongin Kim} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {IEEE Asian Solid-State Circuits Conference, A-SSCC 2021, Busan, Korea, Republic of, November 7-10, 2021}
}
@inproceedings{conf/iscas/ChoKJC21,
title = {An Offset Charge Compensating Biphasic Neuro - stimulation for Faradaic DC-Current Reduction.},
year = {2021},
booktitle = {ISCAS},
author = {{Donghyeok Cho} and {Nahmil Koo} and {Taekwang Jang} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2021, Daegu, South Korea, May 22-28, 2021}
}
@inproceedings{conf/isscc/KooKC21,
title = {28.6 A 22.6µ W Biopotential Amplifier with Adaptive Common-Mode Interference Cancelation Achieving Total-CMRR of 104dB and CMI Tolerance of 15Vpp in 0.18µm CMOS.},
year = {2021},
booktitle = {ISSCC},
author = {{Nahmil Koo} and {Hyojun Kim} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2021, San Francisco, CA, USA, February 13-22, 2021}
}
@inproceedings{conf/vlsic/JeongC21,
title = {An Energy-Efficient Voltage Step-up System for 3D NAND Flash using Charge-Compensating Regulator.},
year = {2021},
booktitle = {VLSI Circuits},
author = {{Hyunsik Jeong} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021}
}
@inproceedings{conf/vlsic/ParkCC21,
title = {A 3.68aFrms Resolution 183dB FoMs 4th-order Continuous-Time Bandpass ∆Σ Capacitance-to-Digital Converter in 0.18µm CMOS.},
year = {2021},
booktitle = {VLSI Circuits},
author = {{Sujin Park} and {Hyungil Chae} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021}
}
@article{journals/jssc/KimC21,
title = {A Single BJT Bandgap Reference With Frequency Compensation Exploiting Mirror Pole.},
year = {2021},
journal = {IEEE J. Solid State Circuits},
author = {{Myungjun Kim} and {SeongHwan Cho}}
}
@article{journals/jssc/KooC21,
title = {A 24.8-μW Biopotential Amplifier Tolerant to 15-VPP Common-Mode Interference for Two-Electrode ECG Recording in 180-nm CMOS.},
year = {2021},
journal = {IEEE J. Solid State Circuits},
author = {{Nahmil Koo} and {SeongHwan Cho}}
}
@inproceedings{conf/apccas/LeePC22,
title = {Reduction of Motion Artifact in PPG signal with CDS-LMS Filter.},
year = {2022},
booktitle = {APCCAS},
author = {{Woobean Lee} and {Pangi Park} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {IEEE Asia Pacific Conference on Circuit and Systems, APCCAS 2022, Shenzhen, China, November 11-13, 2022}
}
@inproceedings{conf/isscc/JungLKC22,
title = {A Supply-Noise-Induced Jitter-Cancelling Clock Distribution Network for LPDDR5 Mobile DRAM featuring a 2nd-order Adaptive Filter.},
year = {2022},
booktitle = {ISSCC},
author = {{Yeonwook Jung} and {Seongseop Lee} and {Hyojun Kim} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2022, San Francisco, CA, USA, February 20-26, 2022}
}
@inproceedings{conf/isscc/SeoSC22,
title = {ARCHON: A 332.7TOPS/W 5b Variation-Tolerant Analog CNN Processor Featuring Analog Neuronal Computation Unit and Analog Memory.},
year = {2022},
booktitle = {ISSCC},
author = {{Jin-O. Seo} and {Mingoo Seok} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2022, San Francisco, CA, USA, February 20-26, 2022}
}
@inproceedings{conf/vlsit/BaikSLKCGL22,
title = {A 2.54μJ∙ppm2-FOMS Supply- and Temperature-Independent Time-Locked ΔΣ Capacitance-to-Digital Converter in 0.18-μm CMOS.},
year = {2022},
booktitle = {VLSI Technology and Circuits},
author = {{Seungyeob Baik} and {Taeryoung Seol} and {Sehwan Lee} and {Geunha Kim} and {SeongHwan Cho} and {Arup K. George} and {Junghyup Lee}},
publisher = {IEEE},
booktitle = {IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}
}
@article{journals/access/HuhCCSL22,
title = {A Comprehensive Analysis of Today's Malware and Its Distribution Network: Common Adversary Strategies and Implications.},
year = {2022},
journal = {IEEE Access},
author = {{Siwon Huh} and {SeongHwan Cho} and {Jinho Choi} and {Seungwon Shin} and {Hojoon Lee 001}}
}
@article{journals/ieiceee/ChoiLC22,
title = {Technique for fast triangular chirp modulation in FMCW PLL.},
year = {2022},
journal = {IEICE Electron. Express},
author = {{Han-Gil Choi} and {Sang Heung Lee} and {SeongHwan Cho}}
}
@article{journals/jssc/ParkSXCSB22,
title = {A 43 nW, 32 kHz, ±4.2 ppm Piecewise Linear Temperature-Compensated Crystal Oscillator With ΔΣ-Modulated Load Capacitance.},
year = {2022},
journal = {IEEE J. Solid State Circuits},
author = {{Sujin Park} and {Ji-Hwan Seol} and {Li Xu 006} and {SeongHwan Cho} and {Dennis Sylvester} and {David T. Blaauw}}
}
@inproceedings{conf/asscc/KimJC23,
title = {A Jitter-Programmable Bang-Bang Phase-Locked Loop Using PVT Invariant Stochastic Jitter Monitor.},
year = {2023},
booktitle = {A-SSCC},
author = {{Yongjo Kim} and {Taekwang Jang} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {IEEE Asian Solid-State Circuits Conference, A-SSCC 2023, Haikou, China, November 5-8, 2023}
}
@inproceedings{conf/vlsit/ChoiCKWSLLIDAAC23,
title = {A 1, 024-Channel, 64-Interconnect, Capacitive Neural Interface Using a Cross-Coupled Microelectrode Array and 2-Dimensional Code-Division Multiplexing.},
year = {2023},
booktitle = {VLSI Technology and Circuits},
author = {{Woojun Choi} and {Yiyang Chen} and {Donghwan Kim} and {Sean Weaver} and {Tilman Schlotter} and {Can Livanelioglu} and {Jiawei Liao} and {Rosario M. Incandela} and {Parham Davami} and {Gabriele Atzeni} and {Sina Arjmandpour} and {SeongHwan Cho} and {Taekwang Jang}},
publisher = {IEEE},
booktitle = {2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, June 11-16, 2023}
}
@article{journals/jssc/JungLKC23,
title = {A Supply-Noise-Induced Jitter Canceling Adaptive Filter for LPDDR5 Mobile DRAM.},
year = {2023},
journal = {IEEE J. Solid State Circuits},
author = {{Yeonwook Jung} and {Seongseop Lee} and {Hyojun Kim} and {SeongHwan Cho}}
}
@article{journals/jssc/ParkCC23,
title = {A 3.68 aFrms Resolution Continuous-Time Bandpass Δ Σ Capacitance-to-Digital Converter for Full-CMOS Sensors in 0.18 μm CMOS.},
year = {2023},
journal = {IEEE J. Solid State Circuits},
author = {{Sujin Park} and {Hyungil Chae} and {SeongHwan Cho}}
}
@article{journals/jssc/KooKC23,
title = {A 43.3-μW Biopotential Amplifier With Tolerance to Common-Mode Interference of 18 Vpp and T-CMRR of 105 dB in 180-nm CMOS.},
year = {2023},
month = {February},
journal = {IEEE J. Solid State Circuits},
author = {{Nahmil Koo} and {Hyojun Kim} and {SeongHwan Cho}}
}
@article{journals/tcasI/KimC23,
title = {An Output-Capacitorless Analog LDO Featuring Frequency Compensation of Four-Stage Amplifier.},
year = {2023},
month = {February},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Myungjun Kim} and {SeongHwan Cho}}
}
@article{journals/jssc/ChoKFZ23,
title = {Introduction to the Special Section on the 2022 Asian Solid-State Circuits Conference (A-SSCC).},
year = {2023},
month = {October},
journal = {IEEE J. Solid State Circuits},
author = {{SeongHwan Cho} and {Joo-Young Kim 001} and {Minoru Fujishima} and {Jun Zhou 017}}
}
@article{journals/tbcas/ParkLC23,
title = {An Adaptive Filter Based Motion Artifact Cancellation Technique Using Multi-Wavelength PPG for Accurate HR Estimation.},
year = {2023},
month = {October},
journal = {IEEE Trans. Biomed. Circuits Syst.},
author = {{Pangi Park} and {Woobean Lee} and {SeongHwan Cho}}
}
@inproceedings{conf/isscc/ChoiC24,
title = {19.1 A 7.5GHz Subharmonic Injection-Locked Clock Multiplier with a 62.5MHz Reference, -259.7dB FoMJ, and -56.6dBc Reference Spur.},
year = {2024},
booktitle = {ISSCC},
author = {{Hangil Choi} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2024, San Francisco, CA, USA, February 18-22, 2024}
}
@inproceedings{conf/isscc/ParkLC24,
title = {3.1 A PVT-Insensitive Sub-Ranging Current Reference Achieving 11.4ppm/°C from -20°C to 125°C.},
year = {2024},
booktitle = {ISSCC},
author = {{Pangi Park} and {Junghyup Lee} and {SeongHwan Cho}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2024, San Francisco, CA, USA, February 18-22, 2024}
}