% csauthors.net - beta - BibTeX bibliography of Shuming Chen
@inproceedings{conf/IEEEcit/PengyongS06,
title = {MID: a Novel Coherency Protocol in Chip Multiprocessor.},
year = {2006},
booktitle = {CIT},
author = {{Pengyong Ma} and {Shuming Chen}},
publisher = {IEEE Computer Society},
booktitle = {Sixth International Conference on Computer and Information Technology (CIT 2006), 20-22 September 2006, Seoul, Korea}
}
@inproceedings{conf/aPcsac/LiCLL06,
title = {The Algorithm and Circuit Design of a 400MHz 16-Bit Hybrid Multiplier.},
year = {2006},
booktitle = {Asia-Pacific Computer Systems Architecture Conference},
author = {{Zhentao Li} and {Shuming Chen} and {Zhao-Liang Li} and {Conghua Lei}},
publisher = {Springer},
booktitle = {Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, ACSAC 2006, Shanghai, China, September 6-8, 2006, Proceedings}
}
@inproceedings{conf/aPcsac/WangHCG06,
title = {Bandwidth Optimization of the EMCI for a High Performance 32-bit DSP.},
year = {2006},
booktitle = {Asia-Pacific Computer Systems Architecture Conference},
author = {{Dong Wang} and {Xiao Hu} and {Shuming Chen} and {Yang Guo}},
publisher = {Springer},
booktitle = {Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, ACSAC 2006, Shanghai, China, September 6-8, 2006, Proceedings}
}
@inproceedings{conf/glvlsi/LiuC06,
title = {Delay and Power Estimation Models of Low-Swing Interconnects for Design Planning.},
year = {2006},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Xiangyuan Liu} and {Shuming Chen}},
publisher = {ACM},
booktitle = {Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30 - May 1, 2006}
}
@inproceedings{conf/ispa/HuMCGF06,
title = {TraceDo: An On-Chip Trace System for Real-Time Debug and Optimization in Multiprocessor SoC.},
year = {2006},
booktitle = {ISPA},
author = {{Xiao Hu} and {Pengyong Ma} and {Shuming Chen} and {Yang Guo} and {Xing Fang}},
publisher = {Springer},
booktitle = {Parallel and Distributed Processing and Applications, 4th International Symposium, ISPA 2006, Sorrento, Italy, December 4-6, 2006, Proceedings}
}
@inproceedings{conf/ispa/MaHCG06,
title = {Pseudo Share Data Cache in Multiprocessor: PSDMP.},
year = {2006},
booktitle = {ISPA Workshops},
author = {{Pengyong Ma} and {Xiao Hu} and {Shuming Chen} and {Yang Guo}},
publisher = {Springer},
booktitle = {Frontiers of High Performance Computing and Networking - ISPA 2006 Workshops, ISPA 2006 International Workshops, FHPCN, XHPC, S-GRACE, GridGIS, HPC-GTP, PDCE, ParDMCom, WOMP, ISDF, and UPWN, Sorrento, Italy, December 4-7, 2006, Proceedings}
}
@inproceedings{conf/aPcsac/WangCCFS07,
title = {FCC-SDP: A Fast Close-Coupled Shared Data Pool for Multi-core DSPs.},
year = {2007},
booktitle = {Asia-Pacific Computer Systems Architecture Conference},
author = {{Dong Wang} and {Xiaowen Chen} and {Shuming Chen} and {Xing Fang} and {Shuwei Sun}},
publisher = {Springer},
booktitle = {Advances in Computer Systems Architecture, 12th Asia-Pacific Conference, ACSAC 2007, Seoul, Korea, August 23-25, 2007, Proceedings}
}
@inproceedings{conf/appt/FangWC07,
title = {Exploiting Thread-Level Parallelism of Irregular LDPC Decoder with Simultaneous Multi-threading Technique.},
year = {2007},
booktitle = {APPT},
author = {{Xing Fang} and {Dong Wang} and {Shuming Chen}},
publisher = {Springer},
booktitle = {Advanced Parallel Processing Technologies, 7th International Symposium, APPT 2007, Guangzhou, China, November 22-23, 2007, Proceedings}
}
@inproceedings{conf/cadgraphics/LiC07,
title = {Transistor Level Timing Analysis Considering Multiple Inputs Simultaneous Switching.},
year = {2007},
booktitle = {CAD/Graphics},
author = {{Zhentao Li} and {Shuming Chen}},
publisher = {IEEE},
booktitle = {10th International Conference on Computer-Aided Design and Computer Graphics, CAD/Graphics 2007, Beijing, China, 15-18 October, 2007}
}
@inproceedings{conf/dsd/MaC07,
title = {A DRAM Precharge Policy Based on Address Analysis.},
year = {2007},
booktitle = {DSD},
author = {{Chiyuan Ma} and {Shuming Chen}},
publisher = {IEEE Computer Society},
booktitle = {Tenth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2007), 29-31 August 2007, Lübeck, Germany}
}
@inproceedings{conf/hpcc/ChenM07,
title = {FROCM: A Fair and Low-Overhead Method in SMT Processor.},
year = {2007},
booktitle = {HPCC},
author = {{Shuming Chen} and {Pengyong Ma}},
publisher = {Springer},
booktitle = {High Performance Computing and Communications, Third International Conference, HPCC 2007, Houston, USA, September 26-28, 2007, Proceedings}
}
@inproceedings{conf/hpcc/SunWC07,
title = {A Highly Efficient Parallel Algorithm for H.264 Encoder Based on Macro-Block Region Partition.},
year = {2007},
booktitle = {HPCC},
author = {{Shuwei Sun} and {Dong Wang} and {Shuming Chen}},
publisher = {Springer},
booktitle = {High Performance Computing and Communications, Third International Conference, HPCC 2007, Houston, USA, September 26-28, 2007, Proceedings}
}
@inproceedings{conf/icess/HuMC07,
title = {Scheduling for Combining Traffic of On-Chip Trace Data in Embedded Multi-core Processor.},
year = {2007},
booktitle = {ICESS},
author = {{Xiao Hu} and {Pengyong Ma} and {Shuming Chen}},
publisher = {Springer},
booktitle = {Embedded Software and Systems, [Third] International Conference, ICESS 2007, Daegu, Korea, May 14-16, 2007, Proceedings}
}
@inproceedings{conf/igarss/ZhangLWLLGKYCL07,
title = {Lidar application in selection and design of power line route.},
year = {2007},
booktitle = {IGARSS},
author = {{Lijun Zhang 010} and {Qiu Li} and {Zizheng Wang} and {Huijie Liu} and {Zhongsheng Li} and {Yao Gui} and {Robert Kletzli} and {Xiaodong Yang} and {Shuming Chen} and {Yanjing Liu}},
publisher = {IEEE},
booktitle = {IEEE International Geoscience & Remote Sensing Symposium, IGARSS 2007, July 23-28, 2007, Barcelona, Spain, Proceedings}
}
@inproceedings{conf/nas/MaC07,
title = {M2SI: An Improved Coherency Protocol in CMP.},
year = {2007},
booktitle = {IEEE NAS},
author = {{Pengyong Ma} and {Shuming Chen}},
publisher = {IEEE Computer Society},
booktitle = {International Conference on Networking, Architecture, and Storage, NAS 2007, 29-31 July 2007, Guilin, China}
}
@inproceedings{conf/nocs/ChenL07,
title = {A Low-Latency and Low-Power Hybrid Insertion Methodology for Global Interconnects in VDSM Designs.},
year = {2007},
booktitle = {NOCS},
author = {{Shuming Chen} and {Xiangyuan Liu}},
publisher = {IEEE Computer Society},
booktitle = {First International Symposium on Networks-on-Chips, NOCS 2007, 7-9 May 2007, Princeton, New Jersey, USA, Proceedings}
}
@inproceedings{conf/prdc/ChenHLC07,
title = {An On-Line Control Flow Checking Method for VLIW Processor.},
year = {2007},
booktitle = {PRDC},
author = {{Shuming Chen} and {Xiao Hu} and {Biwei Liu} and {Jihua Chen}},
publisher = {IEEE Computer Society},
booktitle = {13th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2007), 17-19 December, 2007, Melbourne, Victoria, Australia}
}
@inproceedings{conf/snpd/HuC07,
title = {Applications of On-chip Trace on Debugging Embedded Processor.},
year = {2007},
booktitle = {SNPD (1)},
author = {{Xiao Hu} and {Shuming Chen}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 8th ACIS International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, SNPD 2007, July 30 - August 1, 2007, Qingdao, China}
}
@inproceedings{conf/aiccsa/FangWC08,
title = {SPVA: A novel digital signal processor architecture for Software Defined Radio.},
year = {2008},
booktitle = {AICCSA},
author = {{Xing Fang} and {Dong Wang} and {Shuming Chen}},
publisher = {IEEE Computer Society},
booktitle = {The 6th ACS/IEEE International Conference on Computer Systems and Applications, AICCSA 2008, Doha, Qatar, March 31 - April 4, 2008}
}
@inproceedings{conf/apccas/ChenS08,
title = {Efficient bit-rate estimation technique for CABAC.},
year = {2008},
booktitle = {APCCAS},
author = {{Shuming Chen} and {Shuwei Sun}},
publisher = {IEEE},
booktitle = {IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2008, Macao, China, November 30 2008 - December 3, 2008}
}
@inproceedings{conf/apccas/FangC08,
title = {The design and algorithm mapping of a heterogeneous multi-core processor for SDR.},
year = {2008},
booktitle = {APCCAS},
author = {{Xing Fang} and {Shuming Chen}},
publisher = {IEEE},
booktitle = {IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2008, Macao, China, November 30 2008 - December 3, 2008}
}
@inproceedings{conf/asiams/BiweiS08,
title = {Fast and Accurate Estimate SET Voltage Pulses from Transient Currents Induced by Heavy Ion.},
year = {2008},
booktitle = {Asia International Conference on Modelling and Simulation},
author = {{Biwei Liu} and {Shuming Chen}},
publisher = {IEEE Computer Society},
booktitle = {Second Asia International Conference on Modelling and Simulation, AMS 2008, Kuala Lumpur, Malaysia, May 13-15, 2008}
}
@inproceedings{conf/asiams/BiweiSX08,
title = {Analysis of Glitch Reconvergence in Combinational Logic SER Estimation.},
year = {2008},
booktitle = {Asia International Conference on Modelling and Simulation},
author = {{Biwei Liu} and {Shuming Chen} and {Hu Xiao}},
publisher = {IEEE Computer Society},
booktitle = {Second Asia International Conference on Modelling and Simulation, AMS 2008, Kuala Lumpur, Malaysia, May 13-15, 2008}
}
@inproceedings{conf/iciar/SunC08,
title = {Efficient Bit-Rate Estimation for Mode Decision of H.264/AVC.},
year = {2008},
booktitle = {ICIAR},
author = {{Shuwei Sun} and {Shuming Chen}},
publisher = {Springer},
booktitle = {Image Analysis and Recognition, 5th International Conference, ICIAR 2008, Póvoa de Varzim, Portugal, June 25-27, 2008. Proceedings}
}
@inproceedings{conf/pdcat/SunC08,
title = {An Efficient Parallel Algorithm for H.264/AVC Encoder.},
year = {2008},
booktitle = {PDCAT},
author = {{Shuwei Sun} and {Shuming Chen}},
publisher = {IEEE Computer Society},
booktitle = {Ninth International Conference on Parallel and Distributed Computing, Applications and Technologies, PDCAT 2008, Dunedin, Otago, New Zealand, 1-4 December 2008}
}
@article{journals/amc/ChenL08,
title = {Nonoscillatory solutions of second order nonlinear difference equations.},
year = {2008},
journal = {Appl. Math. Comput.},
author = {{Shuming Chen} and {Chenshun Li}}
}
@inproceedings{conf/isqed/LiuCX09,
title = {Combinational logic SER estimation with the presence of re-convergence.},
year = {2009},
booktitle = {ISQED},
author = {{Biwei Liu} and {Shuming Chen} and {Yi Xu}},
publisher = {IEEE Computer Society},
booktitle = {10th International Symposium on Quality of Electronic Design (ISQED 2009), 16-18 March 2009, San Jose, CA, USA}
}
@article{journals/ajc/WuYCL09,
title = {The existence of (v, 4, λ) disjoint difference families.},
year = {2009},
journal = {Australas. J Comb.},
author = {{Dianhua Wu} and {Jianxiao Yang} and {Shuming Chen} and {Desheng Li}}
}
@article{journals/amc/LiC09,
title = {Oscillation of second-order functional differential equations with mixed nonlinearities and oscillatory potentials.},
year = {2009},
journal = {Appl. Math. Comput.},
author = {{Chenshun Li} and {Shuming Chen}}
}
@inproceedings{conf/apccas/XuC10,
title = {PPTWO: Push-Pull cell based Traveling Wave Oscillator.},
year = {2010},
booktitle = {APCCAS},
author = {{Yi Xu} and {Shuming Chen}},
publisher = {IEEE},
booktitle = {IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}
}
@inproceedings{conf/date/ChenLJC10,
title = {Supporting Distributed Shared Memory on multi-core Network-on-Chips using a dual microcoded controller.},
year = {2010},
booktitle = {DATE},
author = {{Xiaowen Chen} and {Zhonghai Lu} and {Axel Jantsch} and {Shuming Chen}},
publisher = {IEEE Computer Society},
booktitle = {Design, Automation and Test in Europe, DATE 2010, Dresden, Germany, March 8-12, 2010}
}
@inproceedings{conf/hpcc/ChenCGCYCSLW10,
title = {Mapping of H.264/AVC Encoder on a Hierarchical Chip Multicore DSP Platform.},
year = {2010},
booktitle = {HPCC},
author = {{Shenggang Chen} and {Shuming Chen} and {Huitao Gu} and {Hu Chen} and {Yaming Yin} and {Xiaowen Chen} and {Shuwei Sun} and {Sheng Liu 001} and {Yaohua Wang}},
publisher = {IEEE},
booktitle = {12th IEEE International Conference on High Performance Computing and Communications, HPCC 2010, 1-3 September 2010, Melbourne, Australia}
}
@inproceedings{conf/ipps/ChenCY10,
title = {Performance impact of SMP-cluster on the On-chip Large-scale Parallel Computing architecture.},
year = {2010},
booktitle = {IPDPS Workshops},
author = {{Shenggang Chen} and {Shuming Chen} and {Yaming Yin}},
publisher = {IEEE},
booktitle = {24th IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2010, Atlanta, Georgia, USA, 19-23 April 2010 - Workshop Proceedings}
}
@inproceedings{conf/isvlsi/ChenLJCLW10,
title = {Supporting Efficient Synchronization in Multi-core NoCs Using Dynamic Buffer Allocation Technique.},
year = {2010},
booktitle = {ISVLSI},
author = {{Xiaowen Chen} and {Zhonghai Lu} and {Axel Jantsch} and {Shuming Chen} and {Jianzhuang Lu} and {Hucheng Wu}},
publisher = {IEEE Computer Society},
booktitle = {IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2010, 5-7 July 2010, Lixouri Kefalonia, Greece}
}
@inproceedings{conf/paap/ChenLJC10,
title = {Run-Time Partitioning of Hybrid Distributed Shared Memory on Multi-core Network-on-Chips.},
year = {2010},
booktitle = {PAAP},
author = {{Xiaowen Chen} and {Zhonghai Lu} and {Axel Jantsch} and {Shuming Chen}},
publisher = {IEEE Computer Society},
booktitle = {Third International Symposium on Parallel Architectures, Algorithms and Programming, PAAP 2010, Dalian, China, 18-20 December, 2010}
}
@inproceedings{conf/pdcat/GuCSCC10,
title = {Multiple Search Centers Based Fast Motion Estimation Algorithm for H.264/AVC.},
year = {2010},
booktitle = {PDCAT},
author = {{Huitao Gu} and {Shuming Chen} and {Shuwei Sun} and {Shenggang Chen} and {Xiaowen Chen}},
publisher = {IEEE Computer Society},
booktitle = {2010 International Conference on Parallel and Distributed Computing, Applications and Technologies, PDCAT 2010, Wuhan, China, 8-11 December, 2010}
}
@inproceedings{conf/socc/ChenLJC10,
title = {Handling shared variable synchronization in multi-core Network-on-Chips with distributed memory.},
year = {2010},
booktitle = {SoCC},
author = {{Xiaowen Chen} and {Zhonghai Lu} and {Axel Jantsch} and {Shuming Chen}},
publisher = {IEEE},
booktitle = {Annual IEEE International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}
}
@inproceedings{conf/vcip/GuSC10,
title = {A coprocessor for real-time motion estimation in HD video coding.},
year = {2010},
booktitle = {VCIP},
author = {{Huitao Gu} and {Shuwei Sun} and {Shuming Chen}},
publisher = {SPIE},
booktitle = {Visual Communications and Image Processing 2010, VCIP 2010, Huangshan, China, 11-14 July 2010}
}
@article{journals/jcst/ChenWLLSSLLLXa10,
title = {YHFT-QDSP: High-Performance Heterogeneous Multi-Core DSP.},
year = {2010},
journal = {J. Comput. Sci. Technol.},
author = {{Shuming Chen} and {Jianghua Wan} and {Jianzhuang Lu} and {Zhong Liu} and {Hai-Yan Sun} and {Yong-Jie Sun} and {Hengzhu Liu} and {Xiang-Yuan Liu} and {Zhentao Li} and {Yi Xu} and {Xiaowen Chen}}
}
@article{journals/tcsv/ChenCS10,
title = {P3-CABAC: A Nonstandard Tri-Thread Parallel Evolution of CABAC in the Manycore Era.},
year = {2010},
journal = {IEEE Trans. Circuits Syst. Video Technol.},
author = {{Shenggang Chen} and {Shuming Chen} and {Shuwei Sun}}
}
@inproceedings{conf/asicon/ZhangCLWH11,
title = {Accelerating the data shuffle operations for FFT algorithms on SIMD DSPs.},
year = {2011},
booktitle = {ASICON},
author = {{Kai Zhang 023} and {Shuming Chen} and {Sheng Liu 001} and {Yaohua Wang} and {Junhui Huang}},
publisher = {IEEE},
booktitle = {2011 IEEE 9th International Conference on ASIC, ASICON 2011, Xiamen, China, October 25-28, 2011}
}
@inproceedings{conf/aspdac/ChenCXWLLC11,
title = {Design and chip implementation of a heterogeneous multi-core DSP.},
year = {2011},
booktitle = {ASP-DAC},
author = {{Shuming Chen} and {Xiaowen Chen} and {Yi Xu} and {Jianghua Wan} and {Jianzhuang Lu} and {Xiangyuan Liu} and {Shenggang Chen}},
publisher = {IEEE},
booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, ASP-DAC 2011, Yokohama, Japan, January 25-27, 2011}
}
@inproceedings{conf/icnc/LiWCLLZ11,
title = {Transfer path analysis of powertrain vibration on driver's noise.},
year = {2011},
booktitle = {ICNC},
author = {{Wei Li} and {Dengfeng Wang} and {Shuming Chen} and {Yuan-bao Li} and {Shou-kui Li} and {Wei-juan Zheng}},
publisher = {IEEE},
booktitle = {Seventh International Conference on Natural Computation, ICNC 2011, Shanghai, China, 26-28 July, 2011}
}
@inproceedings{conf/isvlsi/WangCWZC11,
title = {AIFSP: An Adaptive Instruction Flow Stream Processor.},
year = {2011},
booktitle = {ISVLSI},
author = {{Yaohua Wang} and {Shuming Chen} and {Jianghua Wan} and {Kai Zhang 023} and {Shenggang Chen}},
publisher = {IEEE Computer Society},
booktitle = {IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, 4-6 July 2011, Chennai, India}
}
@inproceedings{conf/nas/ChenLC11,
title = {A Novel Highly Scalable Architecture with Partially Distributed Pipeline and Hardware/Software Instruction Encoding.},
year = {2011},
booktitle = {NAS},
author = {{Hu Chen} and {Sheng Liu 001} and {Shuming Chen}},
publisher = {IEEE Computer Society},
booktitle = {Sixth International Conference on Networking, Architecture, and Storage, NAS 2011, Dalian, China, 28-30 July, 2011}
}
@inproceedings{conf/nas/LiuCCCC11,
title = {Supporting Efficient Memory Conflicts Reduction Using the DMA Cache Technique in Vector DSPs.},
year = {2011},
booktitle = {NAS},
author = {{Sheng Liu 001} and {Shuming Chen} and {Haiyan Chen} and {Shenggang Chen} and {Hu Chen}},
publisher = {IEEE Computer Society},
booktitle = {Sixth International Conference on Networking, Architecture, and Storage, NAS 2011, Dalian, China, 28-30 July, 2011}
}
@inproceedings{conf/nas/LiuCWCW11,
title = {Matrix Odd-Even Partition: A High Power-Efficient Solution to the Small Grain Data Shuffle.},
year = {2011},
booktitle = {NAS},
author = {{Sheng Liu 001} and {Shuming Chen} and {Jianghua Wan} and {Haiyan Chen} and {Yaohua Wang}},
publisher = {IEEE Computer Society},
booktitle = {Sixth International Conference on Networking, Architecture, and Storage, NAS 2011, Dalian, China, 28-30 July, 2011}
}
@inproceedings{conf/trustcom/ChenC11,
title = {DSBS: Distributed and Scalable Barrier Synchronization in Many-Core Network-on-Chips.},
year = {2011},
booktitle = {TrustCom},
author = {{Xiaowen Chen} and {Shuming Chen}},
publisher = {IEEE Computer Society},
booktitle = {IEEE 10th International Conference on Trust, Security and Privacy in Computing and Communications, TrustCom 2011, Changsha, China, 16-18 November, 2011}
}
@article{journals/ieiceee/ChenCWZ11,
title = {SUCA: a scalable unicore architecture with novel instruction encoding and distributed execution control.},
year = {2011},
journal = {IEICE Electron. Express},
author = {{Hu Chen} and {Shuming Chen} and {Yaohua Wang} and {Kai Zhang 023}}
}
@article{journals/ieiceee/ChenLJCL11,
title = {Cooperative communication based barrier synchronization in on-chip mesh architectures.},
year = {2011},
journal = {IEICE Electron. Express},
author = {{Xiaowen Chen} and {Zhonghai Lu} and {Axel Jantsch} and {Shuming Chen} and {Hai Liu}}
}
@article{journals/ieiceee/LiuCNWCZW11,
title = {LP2D: a novel low-power 2D memory for sliding-window applications in vector DSPs.},
year = {2011},
journal = {IEICE Electron. Express},
author = {{Sheng Liu 001} and {Shuming Chen} and {Xi Ning} and {Jianghua Wan} and {Hu Chen} and {Kai Zhang 023} and {Yaohua Wang}}
}
@article{journals/jsw/ChenCLJ11,
title = {Hybrid Distributed Shared Memory Space in Multi-core Processors.},
year = {2011},
journal = {J. Softw.},
author = {{Xiaowen Chen} and {Shuming Chen} and {Zhonghai Lu} and {Axel Jantsch}}
}
@article{journals/vtm/ChenW11,
title = {Car Interior Noise.},
year = {2011},
journal = {IEEE Veh. Technol. Mag.},
author = {{Shuming Chen} and {Dengfeng Wang}}
}
@inproceedings{conf/cgc/HuCH12,
title = {Preprocessing Scheme of Intelligent Assembly for a High Performance VLIW DSP.},
year = {2012},
booktitle = {CGC},
author = {{Yonghua Hu} and {Shuming Chen} and {Jie Huang}},
publisher = {IEEE Computer Society},
booktitle = {2012 Second International Conference on Cloud and Green Computing, CGC 2012, Xiangtan, Hunan, China, November 1-3, 2012}
}
@inproceedings{conf/hpcc/WangZWLNC12,
title = {Architectural Implications for SIMD Processors in the Wireless Communication Domain.},
year = {2012},
booktitle = {HPCC-ICESS},
author = {{Yaohua Wang} and {Kai Zhang 023} and {Jianghua Wan} and {Sheng Liu 001} and {Xi Ning} and {Shuming Chen}},
publisher = {IEEE Computer Society},
booktitle = {14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, HPCC-ICESS 2012, Liverpool, United Kingdom, June 25-27, 2012}
}
@inproceedings{conf/ipps/WangCZCC12,
title = {Architecture Design Trade-offs among VLIW SIMD and Multi-core Schemes.},
year = {2012},
booktitle = {IPDPS Workshops},
author = {{Yaohua Wang} and {Shuming Chen} and {Kai Zhang 023} and {Hu Chen} and {Xiaowen Chen}},
publisher = {IEEE Computer Society},
booktitle = {26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, IPDPS 2012, Shanghai, China, May 21-25, 2012}
}
@inproceedings{conf/newcas/ZhangHCQXCN12,
title = {Study of rough surface to decrease reverberation noise in ultrasonic imaging.},
year = {2012},
booktitle = {NEWCAS},
author = {{Jinying Zhang} and {Gang Han} and {Shuming Chen} and {Yue Qian} and {Weijiang Xu} and {Julien Carlier} and {Bertrand Nongaillard}},
publisher = {IEEE},
booktitle = {10th IEEE International NEWCAS Conference, Montreal, QC, Canada, June 17-20, 2012}
}
@article{journals/arscom/WuSFLC12,
title = {The existence of doubly disjoint (mt+1, m, m-1) difference families.},
year = {2012},
journal = {Ars Comb.},
author = {{Dianhua Wu} and {Qing Shu} and {Ryoh Fuji-Hara} and {Desheng Li} and {Shuming Chen}}
}
@article{journals/cal/WangCZWCCW12,
title = {Instruction Shuffle: Achieving MIMD-like Performance on SIMD Architectures.},
year = {2012},
journal = {IEEE Comput. Archit. Lett.},
author = {{Yaohua Wang} and {Shuming Chen} and {Kai Zhang 023} and {Jianghua Wan} and {Xiaowen Chen} and {Hu Chen} and {Haibo Wang 004}}
}
@article{journals/chinaf/QinCLLC12,
title = {Device-physics-based analytical model for SET pulse in sub-100 nm bulk CMOS Process.},
year = {2012},
journal = {Sci. China Inf. Sci.},
author = {{Junrui Qin} and {Shuming Chen} and {Biwei Liu} and {Bin Liang} and {Jianjun Chen}}
}
@article{journals/ieiceee/LiuCCG12,
title = {A novel parallel memory organization supporting multiple access types with matched memory modules.},
year = {2012},
journal = {IEICE Electron. Express},
author = {{Sheng Liu 001} and {Shuming Chen} and {Hu Chen} and {Yang Guo 003}}
}
@article{journals/ieiceee/WangCZWCLN12,
title = {A cost conscious performance model for media processors.},
year = {2012},
journal = {IEICE Electron. Express},
author = {{Yaohua Wang} and {Shuming Chen} and {Kai Zhang 023} and {Jianghua Wan} and {Hu Chen} and {Sheng Liu 001} and {Xi Ning}}
}
@article{journals/ieiceee/YangCWL12,
title = {Control-enhanced power-SIMD.},
year = {2012},
journal = {IEICE Electron. Express},
author = {{Hui Yang} and {Shuming Chen} and {Tiebin Wu} and {Sheng Liu 001}}
}
@article{journals/ieiceee/YangCWL12a,
title = {Erratum: Control-enhanced power-SIMD [IEICE Electronics Express Vol.9 (2012), No 14 pp 1147-1152].},
year = {2012},
journal = {IEICE Electron. Express},
author = {{Hui Yang} and {Shuming Chen} and {Tiebin Wu} and {Sheng Liu 001}}
}
@article{journals/ieiceee/ZhangCCWCLL12,
title = {CMRF: a Configurable Matrix Register File for accelerating matrix operations on SIMD processors.},
year = {2012},
journal = {IEICE Electron. Express},
author = {{Kai Zhang 023} and {Shuming Chen} and {Hu Chen} and {Yaohua Wang} and {Xiaowen Chen} and {Sheng Liu 001} and {Wei Liu 013}}
}
@article{journals/mr/ChenCLLL12,
title = {Radiation hardened by design techniques to reduce single event transient pulse width based on the physical mechanism.},
year = {2012},
journal = {Microelectron. Reliab.},
author = {{Jianjun Chen} and {Shuming Chen} and {Bin Liang} and {Biwei Liu} and {Fanyu Liu}}
}
@inproceedings{conf/hpca/WangCWMZLN13,
title = {A multiple SIMD, multiple data (MSMD) architecture: Parallel execution of dynamic and static SIMD fragments.},
year = {2013},
booktitle = {HPCA},
author = {{Yaohua Wang} and {Shuming Chen} and {Jianghua Wan} and {Jiayuan Meng} and {Kai Zhang 023} and {Wei Liu 013} and {Xi Ning}},
publisher = {IEEE Computer Society},
booktitle = {19th IEEE International Symposium on High Performance Computer Architecture, HPCA 2013, Shenzhen, China, February 23-27, 2013}
}
@inproceedings{conf/iscas/WangCWZ13,
title = {Redefining the relationship between scalar and parallel units in SIMD architectures.},
year = {2013},
booktitle = {ISCAS},
author = {{Yaohua Wang} and {Shuming Chen} and {Jianghua Wan} and {Kai Zhang 023}},
publisher = {IEEE},
booktitle = {2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}
}
@inproceedings{conf/npc/ZhangCLN13,
title = {A Fine-Grained Pipelined Implementation of LU Decomposition on SIMD Processors.},
year = {2013},
booktitle = {NPC},
author = {{Kai Zhang 023} and {Shuming Chen} and {Wei Liu 013} and {Xi Ning}},
publisher = {Springer},
booktitle = {Network and Parallel Computing - 10th IFIP International Conference, NPC 2013, Guiyang, China, September 19-21, 2013. Proceedings}
}
@article{journals/cee/ChenLJCCG13,
title = {Reducing Virtual-to-Physical address translation overhead in Distributed Shared Memory based multi-core Network-on-Chips according to data property.},
year = {2013},
journal = {Comput. Electr. Eng.},
author = {{Xiaowen Chen} and {Zhonghai Lu} and {Axel Jantsch} and {Shuming Chen} and {Shenggang Chen} and {Huitao Gu}}
}
@article{journals/ieiceee/LiuCCWLZN13,
title = {A novel QPP interleaver for parallel turbo decoder.},
year = {2013},
journal = {IEICE Electron. Express},
author = {{Wei Liu 013} and {Shuming Chen} and {Hu Chen} and {Yaohua Wang} and {Sheng Liu 001} and {Kai Zhang 023} and {Xi Ning}}
}
@article{journals/ieiceee/YangCWD13,
title = {Decoupled iteration mapping: improving dependency-loop performance on SIMD processors.},
year = {2013},
journal = {IEICE Electron. Express},
author = {{Hui Yang} and {Shuming Chen} and {Jianghua Wan} and {Huanyao Dai}}
}
@article{journals/ieiceee/ZhangCWW13,
title = {Breaking the performance bottleneck of sparse matrix-vector multiplication on SIMD processors.},
year = {2013},
journal = {IEICE Electron. Express},
author = {{Kai Zhang 023} and {Shuming Chen} and {Yaohua Wang} and {Jianghua Wan}}
}
@article{journals/ieicet/WangCCWZL13,
title = {Dual-Core Framework: Eliminating the Bottleneck Effect of Scalar Kernels on SIMD Architectures.},
year = {2013},
journal = {IEICE Trans. Inf. Syst.},
author = {{Yaohua Wang} and {Shuming Chen} and {Hu Chen} and {Jianghua Wan} and {Kai Zhang 023} and {Sheng Liu 001}}
}
@article{journals/mj/DuCL13,
title = {Impact of pulse quenching effect on soft error vulnerabilities in combinational circuits based on standard cells.},
year = {2013},
journal = {Microelectron. J.},
author = {{Yankang Du} and {Shuming Chen} and {Biwei Liu}}
}
@inproceedings{conf/norchip/LiuLOC14,
title = {Implementation of a dynamic wordlength SIMD multiplier.},
year = {2014},
booktitle = {NORCHIP},
author = {{Yangxurui Liu} and {Liang Liu 002} and {Viktor Öwall} and {Shuming Chen}},
publisher = {IEEE},
booktitle = {2014 NORCHIP, Tampere, Finland, October 27-28, 2014}
}
@article{journals/chinaf/HeC14,
title = {Comparison of heavy-ion induced SEU for D- and TMR-flip-flop designs in 65-nm bulk CMOS technology.},
year = {2014},
journal = {Sci. China Inf. Sci.},
author = {{Yibai He} and {Shuming Chen}}
}
@article{journals/ieiceee/ChenLJCGL14,
title = {Cooperative communication for efficient and scalable all-to-all barrier synchronization on mesh-based many-core NoCs.},
year = {2014},
journal = {IEICE Electron. Express},
author = {{Xiaowen Chen} and {Zhonghai Lu} and {Axel Jantsch} and {Shuming Chen} and {Yang Guo 003} and {Hengzhu Liu}}
}
@article{journals/micro/ChenWLWCLZLN14,
title = {FT-Matrix: A Coordination-Aware Architecture for Signal Processing.},
year = {2014},
journal = {IEEE Micro},
author = {{Shuming Chen} and {Yaohua Wang} and {Sheng Liu 001} and {Jianghua Wan} and {Haiyan Chen} and {Hengzhu Liu} and {Kai Zhang 023} and {Xiangyuan Liu} and {Xi Ning}}
}
@inproceedings{conf/icnc/WangCL15,
title = {A novel method to reduce ancilla and garbage bits of reversible quantum multipliers.},
year = {2015},
booktitle = {ICNC},
author = {{Zhi Wang} and {Shuming Chen} and {Wei Liu 013}},
publisher = {IEEE},
booktitle = {11th International Conference on Natural Computation, ICNC 2015, Zhangjiajie, China, August 15-17, 2015}
}
@inproceedings{conf/isvlsi/ChenLLJZCGLLWSC15,
title = {Achieving Memory Access Equalization Via Round-Trip Routing Latency Prediction in 3D Many-Core NoCs.},
year = {2015},
booktitle = {ISVLSI},
author = {{Xiaowen Chen} and {Zhonghai Lu} and {Yang Li} and {Axel Jantsch} and {Xueqian Zhao} and {Shuming Chen} and {Yang Guo 003} and {Zonglin Liu} and {Jianzhuang Lu} and {Jianghua Wan} and {Shuwei Sun} and {Shenggang Chen} and {Hu Chen}},
publisher = {IEEE Computer Society},
booktitle = {2015 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2015, Montpellier, France, July 8-10, 2015}
}
@article{journals/chinaf/HuangCCWLHLL15,
title = {Simulation study of N-hit SET variation in differential cascade voltage switch logical circuits.},
year = {2015},
journal = {Sci. China Inf. Sci.},
author = {{Pengcheng Huang} and {Shuming Chen} and {Jianjun Chen} and {Zhenyu Wu} and {Zhengfa Liang} and {Chunmei Hu} and {Bin Liang} and {Biwei Liu}}
}
@article{journals/chinaf/SongCHD15,
title = {Flip-flops soft error rate evaluation approach considering internal single-event transient.},
year = {2015},
journal = {Sci. China Inf. Sci.},
author = {{Ruiqiang Song} and {Shuming Chen} and {Yibai He} and {Yankang Du}}
}
@article{journals/ieiceee/HuCHLC15,
title = {Evaluating the single event sensitivity of dynamic comparator in 5 Gbps SerDes.},
year = {2015},
journal = {IEICE Electron. Express},
author = {{Chunmei Hu} and {Shuming Chen} and {Pengcheng Huang} and {Yao Liu} and {Jianjun Chen}}
}
@article{journals/ieiceee/NingCW15,
title = {Propagation-constant matching based broadband permittivity extraction from S-parameter.},
year = {2015},
journal = {IEICE Electron. Express},
author = {{Xi Ning} and {Shuming Chen} and {Lei Wang}}
}
@article{journals/ieiceee/XuCHHSH15,
title = {Single event transient propagation in dynamic complementary metal oxide semiconductor cascade circuits.},
year = {2015},
journal = {IEICE Electron. Express},
author = {{Jingyan Xu} and {Shuming Chen} and {Pengcheng Huang} and {Peipei Hao} and {Ruiqiang Song} and {Chunmei Hu}}
}
@article{journals/jece/ChenLJCGCC15,
title = {Performance Analysis of Homogeneous On-Chip Large-Scale Parallel Computing Architectures for Data-Parallel Applications.},
year = {2015},
journal = {J. Electr. Comput. Eng.},
author = {{Xiaowen Chen} and {Zhonghai Lu} and {Axel Jantsch} and {Shuming Chen} and {Yang Guo 003} and {Shenggang Chen} and {Hu Chen}}
}
@article{journals/jsw/ChenLJCGCCL15,
title = {Command-Triggered Microcode Execution for Distributed Shared Memory Based Multi-Core Network-on-Chips.},
year = {2015},
journal = {J. Softw.},
author = {{Xiaowen Chen} and {Zhonghai Lu} and {Axel Jantsch} and {Shuming Chen} and {Yang Guo 003} and {Shenggang Chen} and {Hu Chen} and {Man Liao}}
}
@inproceedings{conf/nccet/HuCLCX16,
title = {A Radiation Hardening Algorithm on 2nd Order CDR.},
year = {2016},
booktitle = {NCCET},
author = {{Chunmei Hu} and {Shuming Chen} and {Yao Liu} and {Jianjun Chen} and {Jingyan Xu}},
publisher = {Springer},
booktitle = {Computer Engineering and Technology - 20th CCF Conference, NCCET 2016, Xi'an, China, August 10-12, 2016, Revised Selected Papers}
}
@article{journals/chinaf/HuangCC16,
title = {Single event upset induced by single event double transient and its well-structure dependency in 65-nm bulk CMOS technology.},
year = {2016},
journal = {Sci. China Inf. Sci.},
author = {{Pengcheng Huang} and {Shuming Chen} and {Jianjun Chen}}
}
@article{journals/ieiceee/LiuCNZWW16,
title = {Characterization of the field-dependent permittivity of Ba0.5Sr0.5TiO3 thin films up to 110 GHz.},
year = {2016},
journal = {IEICE Electron. Express},
author = {{Jingtian Liu} and {Shuming Chen} and {Xi Ning} and {Jinying Zhang} and {Lei Wang} and {Zhi Wang}}
}
@article{journals/taco/WangWCLCCZ16,
title = {Iteration Interleaving-Based SIMD Lane Partition.},
year = {2016},
journal = {ACM Trans. Archit. Code Optim.},
author = {{Yaohua Wang} and {Dong Wang} and {Shuming Chen} and {Zonglin Liu} and {Shenggang Chen} and {Xiaowen Chen} and {Xu Zhou}}
}
@article{journals/tr/DuC16,
title = {A Novel Layout-Based Single Event Transient Injection Approach to Evaluate the Soft Error Rate of Large Combinational Circuits in Complimentary Metal-Oxide-Semiconductor Bulk Technology.},
year = {2016},
journal = {IEEE Trans. Reliab.},
author = {{Yankang Du} and {Shuming Chen}}
}
@inproceedings{conf/ACISicis/ChenC17,
title = {A high-speed low voltage CMOS Schmitt Trigger with adjustable hysteresis.},
year = {2017},
booktitle = {ICIS},
author = {{Zhe Chen} and {Shuming Chen}},
publisher = {IEEE Computer Society},
booktitle = {16th IEEE/ACIS International Conference on Computer and Information Science, ICIS 2017, Wuhan, China, May 24-26, 2017}
}
@article{journals/chinaf/HaoCHCL17,
title = {Cost-effective SET-tolerant clock distribution network design by mitigating single event transient propagation.},
year = {2017},
journal = {Sci. China Inf. Sci.},
author = {{Peipei Hao} and {Shuming Chen} and {Pengcheng Huang} and {Jianjun Chen} and {Bin Liang}}
}
@article{journals/chinaf/SongCLCC17,
title = {Modeling the impact of process and operation variations on the soft error rate of digital circuits.},
year = {2017},
journal = {Sci. China Inf. Sci.},
author = {{Ruiqiang Song} and {Shuming Chen} and {Bin Liang} and {Yaqing Chi} and {Jianjun Chen}}
}
@article{journals/tecs/ChenLLC17,
title = {Round-trip DRAM Access Fairness in 3D NoC-based Many-core Systems.},
year = {2017},
journal = {ACM Trans. Embed. Comput. Syst.},
author = {{Xiaowen Chen} and {Zhonghai Lu} and {Sheng Liu 001} and {Shuming Chen}}
}
@inproceedings{conf/csae/ZhangCL18,
title = {FAL-based High Reusability and Automated Verification Platform.},
year = {2018},
booktitle = {CSAE},
author = {{Tingrong Zhang} and {Shuming Chen} and {Zhao Lv}},
publisher = {ACM},
booktitle = {The 2nd International Conference on Computer Science and Application Engineering, CSAE 2018, Hohhot, China, October 22-24, 2018}
}
@inproceedings{conf/icaci/ChenC18,
title = {Fast automatic generation of efficient custom instructions for application-aware computing.},
year = {2018},
booktitle = {ICACI},
author = {{Hu Chen} and {Shuming Chen}},
publisher = {IEEE},
booktitle = {Tenth International Conference on Advanced Computational Intelligence, ICACI 2018, Xiamen, China, March 29-31, 2018}
}
@inproceedings{conf/iccsip/YeCCZ18,
title = {Background of Semantic Intelligence Research and the Principle of Technical Framework.},
year = {2018},
booktitle = {ICCSIP (2)},
author = {{Wang Ye} and {Bolin Chen} and {Shuming Chen} and {Xiaohui Zou}},
publisher = {Springer},
booktitle = {Cognitive Systems and Signal Processing - 4th International Conference, ICCSIP 2018, Beijing, China, November 29 - December 1, 2018, Revised Selected Papers, Part II}
}
@inproceedings{conf/iscas/ZhangCWWLW18,
title = {Live Demonstration: Image Segmentation on the FPGA-based Pre-calculating Ising Memory.},
year = {2018},
booktitle = {ISCAS},
author = {{Jian Zhang 022} and {Shuming Chen} and {Zhi Wang} and {Lei Wang 011} and {Linghui Lv} and {Yaohua Wang}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2018, 27-30 May 2018, Florence, Italy}
}
@inproceedings{conf/iscas/ZhangCWWLW18a,
title = {Pre-Calculating Ising Memory: Low Cost Method to Enhance Traditional Memory with Ising Ability.},
year = {2018},
booktitle = {ISCAS},
author = {{Jian Zhang 022} and {Shuming Chen} and {Zhi Wang} and {Lei Wang 011} and {Linghui Lv} and {Yaohua Wang}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2018, 27-30 May 2018, Florence, Italy}
}
@article{journals/tc/ZhangCW18,
title = {Advancing CMOS-Type Ising Arithmetic Unit into the Domain of Real-World Applications.},
year = {2018},
journal = {IEEE Trans. Computers},
author = {{Jian Zhang 022} and {Shuming Chen} and {Yaohua Wang}}
}
@article{journals/tvlsi/ChenLLC18,
title = {A Variable-Size FFT Hardware Accelerator Based on Matrix Transposition.},
year = {2018},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Xiaowen Chen} and {Yuanwu Lei} and {Zhonghai Lu} and {Shuming Chen}}
}
@article{journals/access/LvCZW19,
title = {A Specification-Based Semi-Formal Functional Verification Method by a Stage Transition Graph Model.},
year = {2019},
journal = {IEEE Access},
author = {{Zhao Lv} and {Shuming Chen} and {Tingrong Zhang} and {Yaohua Wang}}
}
@article{journals/access/YangCWZ19,
title = {The Evaluation of DCNN on Vector-SIMD DSP.},
year = {2019},
journal = {IEEE Access},
author = {{Chao Yang 018} and {Shuming Chen} and {Yaohua Wang} and {Junyang Zhang}}
}
@article{journals/access/YangCZLW19,
title = {A Novel DSP Architecture for Scientific Computing and Deep Learning.},
year = {2019},
journal = {IEEE Access},
author = {{Chao Yang 018} and {Shuming Chen} and {Jian Zhang 022} and {Zhao Lv} and {Zhi Wang}}
}
@article{journals/cssp/ChenGLMWZ22,
title = {Review on Active Noise Control Technology for α-Stable Distribution Impulsive Noise.},
year = {2022},
journal = {Circuits Syst. Signal Process.},
author = {{Shuming Chen} and {Feihong Gu} and {Chao Liang} and {Hao Meng} and {Kaiming Wu} and {Zhengdao Zhou}}
}
@article{journals/dsp/ZhangCZL22,
title = {An active noise control system based on reference signal decomposition.},
year = {2022},
journal = {Digit. Signal Process.},
author = {{Zhang Zhang} and {Shuming Chen} and {Zhengdao Zhou} and {Huijuan Li}}
}
@article{journals/corr/abs-2308-01369,
title = {An enhanced motion planning approach by integrating driving heterogeneity and long-term trajectory prediction for automated driving systems.},
year = {2023},
journal = {CoRR},
author = {{Ni Dong 001} and {Shuming Chen} and {Yina Wu} and {Yiheng Feng} and {Xiaobo Liu}}
}
@article{journals/dsp/ChengZC23,
title = {Wavelet packet transform applied to active noise control system for mixed noise in nonlinear environment.},
year = {2023},
month = {March},
journal = {Digit. Signal Process.},
author = {{Yabing Cheng} and {Rui Zhang} and {Shuming Chen}}
}
@article{journals/cssp/ChengLCZ23,
title = {An Enhanced Impulse Noise Control Algorithm Using a Novel Nonlinear Function.},
year = {2023},
month = {November},
journal = {Circuits Syst. Signal Process.},
author = {{Yabing Cheng} and {Chao Li} and {Shuming Chen} and {Zhengdao Zhou}}
}