% csauthors.net - beta - BibTeX bibliography of Swarup Bhunia
@inproceedings{conf/vlsid/BhuniaGKDM99,
title = {Design, Simulation and Synthesis of an ASIC for Fractal Image Compression.},
year = {1999},
booktitle = {VLSI Design},
author = {{Swarup Bhunia} and {Soumya K. Ghosh 001} and {Pramod Kumar} and {Partha Pratim Das} and {Jayanta Mukherjee 001}},
publisher = {IEEE Computer Society},
booktitle = {12th International Conference on VLSI Design (VLSI Design 1999), 10-13 January 1999, Goa, India}
}
@inproceedings{conf/vlsid/BhuniaMSSB00,
title = {Topological Routing Amidst Polygonal Obstacles.},
year = {2000},
booktitle = {VLSI Design},
author = {{Swarup Bhunia} and {Subhashis Majumder} and {Ayan Sircar} and {Susmita Sur-Kolay} and {Bhargab B. Bhattacharya}},
publisher = {IEEE Computer Society},
booktitle = {13th International Conference on VLSI Design (VLSI Design 2000), 4-7 January 2000, Calcutta, India}
}
@inproceedings{conf/ats/BhuniaLR02,
title = {A High Performance IDDQ Testable Cache for Scaled CMOS Technologies.},
year = {2002},
booktitle = {Asian Test Symposium},
author = {{Swarup Bhunia} and {Hai Li} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {11th Asian Test Symposium (ATS 2002), 18-20 November 2002, Guam, USA}
}
@inproceedings{conf/dac/BhuniaRS02,
title = {A novel wavelet transform based transient current analysis for fault detection and localization.},
year = {2002},
booktitle = {DAC},
author = {{Swarup Bhunia} and {Kaushik Roy 001} and {Jaume Segura 001}},
publisher = {ACM},
booktitle = {Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002}
}
@inproceedings{conf/date/BhuniaR02,
title = {Fault Detection and Diagnosis Using Wavelet Based Transient Current Analysis.},
year = {2002},
booktitle = {DATE},
author = {{Swarup Bhunia} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France}
}
@inproceedings{conf/icip/BishnuBMBKA02,
title = {Content based image retrieval: related issues using Euler vector.},
year = {2002},
booktitle = {ICIP (2)},
author = {{Arijit Bishnu} and {Swarup Bhunia} and {C. A. Murthy} and {Bhargab B. Bhattacharya} and {Malay Kumar Kundu} and {Tinku Acharya}},
publisher = {IEEE},
booktitle = {Proceedings of the 2002 International Conference on Image Processing, ICIP 2002, Rochester, New York, USA, September 22-25, 2002}
}
@inproceedings{conf/vts/BhuniaR02,
title = {Dynamic Supply Current Testing of Analog Circuits Using Wavelet Transform.},
year = {2002},
booktitle = {VTS},
author = {{Swarup Bhunia} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April - 2 May 2002, Monterey, CA, USA}
}
@inproceedings{conf/date/ChiouBR03,
title = {Synthesis of Application-Specific Highly-Efficient Multi-Mode Systems for Low-Power Applications.},
year = {2003},
booktitle = {DATE},
author = {{Lih-Yih Chiou} and {Swarup Bhunia} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany}
}
@inproceedings{conf/dft/GhoshBR03,
title = {Multiple Scan Chain Design Technique for Power Reduction during Test Application in BIST.},
year = {2003},
booktitle = {DFT},
author = {{Debjyoti Ghosh} and {Swarup Bhunia} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 3-5 November 2003, Boston, MA, USA, Proceedings}
}
@inproceedings{conf/hpca/LiBCVR03,
title = {Deterministic Clock Gating for Microprocessor Power Reduction.},
year = {2003},
booktitle = {HPCA},
author = {{Hai Li 001} and {Swarup Bhunia} and {Yiran Chen 001} and {T. N. Vijaykumar} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), Anaheim, California, USA, February 8-12, 2003}
}
@inproceedings{conf/date/BhuniaRR04,
title = {Trim Bit Setting of Analog Filters Using Wavelet-Based Supply Current Analysis.},
year = {2004},
booktitle = {DATE},
author = {{Swarup Bhunia} and {Arijit Raychowdhury} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France}
}
@inproceedings{conf/dft/BhuniaMRR04,
title = {First Level Hold: A Novel Low-Overhead Delay Fault Testing Technique.},
year = {2004},
booktitle = {DFT},
author = {{Swarup Bhunia} and {Hamid Mahmoodi-Meimand} and {Arijit Raychowdhury} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 10-13 October 2004, Cannes, France, Proceedings}
}
@inproceedings{conf/iccd/BhuniaMMGR04,
title = {A Novel Low-Power Scan Design Technique Using Supply Gating.},
year = {2004},
booktitle = {ICCD},
author = {{Swarup Bhunia} and {Hamid Mahmoodi-Meimand} and {Saibal Mukhopadhyay} and {Debjyoti Ghosh} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings}
}
@inproceedings{conf/iolts/GhoshBR04,
title = {A Technique to Reduce Power and Test Application Time in BIST.},
year = {2004},
booktitle = {IOLTS},
author = {{Debjyoti Ghosh} and {Swarup Bhunia} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {10th IEEE International On-Line Testing Symposium (IOLTS 2004), 12-14 July 2004, Funchal, Madeira Island, Portugal}
}
@article{journals/tvlsi/LiBCRV04,
title = {DCG: deterministic clock-gating for low-power microprocessor design.},
year = {2004},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Hai Li 001} and {Swarup Bhunia} and {Yiran Chen 001} and {Kaushik Roy 001} and {T. N. Vijaykumar}}
}
@inproceedings{conf/ats/DattaBMR05,
title = {A Statistical Approach to Area-Constrained Yield Enhancement for Pipelined Circuits under Parameter Variations.},
year = {2005},
booktitle = {Asian Test Symposium},
author = {{Animesh Datta} and {Swarup Bhunia} and {Saibal Mukhopadhyay} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {14th Asian Test Symposium (ATS 2005), 18-21 December 2005, Calcutta, India}
}
@inproceedings{conf/ats/GhoshBR05,
title = {Shannon Expansion Based Supply-Gated Logic for Improved Power and Testability.},
year = {2005},
booktitle = {Asian Test Symposium},
author = {{Swaroop Ghosh} and {Swarup Bhunia} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {14th Asian Test Symposium (ATS 2005), 18-21 December 2005, Calcutta, India}
}
@inproceedings{conf/dac/BhuniaBCMR05,
title = {A novel synthesis approach for active leakage power reduction using dynamic supply gating.},
year = {2005},
booktitle = {DAC},
author = {{Swarup Bhunia} and {Nilanjan Banerjee} and {Qikai Chen} and {Hamid Mahmoodi-Meimand} and {Kaushik Roy 001}},
publisher = {ACM},
booktitle = {Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005}
}
@inproceedings{conf/date/BhuniaMRR05,
title = {A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application.},
year = {2005},
booktitle = {DATE},
author = {{Swarup Bhunia} and {Hamid Mahmoodi-Meimand} and {Arijit Raychowdhury} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany}
}
@inproceedings{conf/date/DattaBMBR05,
title = {Statistical Modeling of Pipeline Delay and Design of Pipeline under Process Variation to Enhance Yield in sub-100nm Technologies.},
year = {2005},
booktitle = {DATE},
author = {{Animesh Datta} and {Swarup Bhunia} and {Saibal Mukhopadhyay} and {Nilanjan Banerjee} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany}
}
@inproceedings{conf/date/MukhopadhyayBR05,
title = {Modeling and Analysis of Loading Effect in Leakage of Nano-Scaled Bulk-CMOS Logic Circuits.},
year = {2005},
booktitle = {DATE},
author = {{Saibal Mukhopadhyay} and {Swarup Bhunia} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany}
}
@inproceedings{conf/ets/RaychowdhuryGBGR05,
title = {A novel delay fault testing methodology using on-chip low-overhead delay measurement hardware at strategic probe points.},
year = {2005},
booktitle = {ETS},
author = {{Arijit Raychowdhury} and {Swaroop Ghosh} and {Swarup Bhunia} and {Debjyoti Ghosh} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {10th European Test Symposium, ETS 2005, Tallinn, Estonia, May 22-25, 2005}
}
@inproceedings{conf/iolts/DattaMBR05,
title = {Yield Prediction of High Performance Pipelined Circuit with Respect to Delay Failures in Sub-100nm Technology.},
year = {2005},
booktitle = {IOLTS},
author = {{Animesh Datta} and {Saibal Mukhopadhyay} and {Swarup Bhunia} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {11th IEEE International On-Line Testing Symposium (IOLTS 2005), 6-8 July 2005, Saint Raphael, France}
}
@inproceedings{conf/islped/AgarwalKBGR05,
title = {Effectiveness of low power dual-Vt designs in nano-scale technologies under process parameter variations.},
year = {2005},
booktitle = {ISLPED},
author = {{Amit Agarwal 001} and {Kunhyuk Kang} and {Swarup Bhunia} and {James D. Gallagher} and {Kaushik Roy 001}},
publisher = {ACM},
booktitle = {Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005}
}
@inproceedings{conf/isqed/BhuniaMGR05,
title = {Power Reduction in Test-Per-Scan BIST with Supply Gating and Efficient Scan Partitioning.},
year = {2005},
booktitle = {ISQED},
author = {{Swarup Bhunia} and {Hamid Mahmoodi-Meimand} and {Debjyoti Ghosh} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {6th International Symposium on Quality of Electronic Design (ISQED 2005), 21-23 March 2005, San Jose, CA, USA}
}
@inproceedings{conf/isqed/DattaBBR05,
title = {A Power-Aware GALS Architecture for Real-Time Algorithm-Specific Tasks.},
year = {2005},
booktitle = {ISQED},
author = {{Animesh Datta} and {Swarup Bhunia} and {Nilanjan Banerjee} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {6th International Symposium on Quality of Electronic Design (ISQED 2005), 21-23 March 2005, San Jose, CA, USA}
}
@inproceedings{conf/vts/ChenMBR05,
title = {Modeling and Testing of SRAM for New Failure Mechanisms Due to Process Variations in Nanoscale CMOS.},
year = {2005},
booktitle = {VTS},
author = {{Qikai Chen} and {Hamid Mahmoodi-Meimand} and {Swarup Bhunia} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {23rd IEEE VLSI Test Symposium (VTS 2005), 1-5 May 2005, Palm Springs, CA, USA}
}
@article{journals/et/BhuniaRR05,
title = {Defect Oriented Testing of Analog Circuits Using Wavelet Analysis of Dynamic Supply Current.},
year = {2005},
journal = {J. Electron. Test.},
author = {{Swarup Bhunia} and {Arijit Raychowdhury} and {Kaushik Roy 001}}
}
@article{journals/et/BhuniaRR05a,
title = {Frequency Specification Testing of Analog Filters Using Wavelet Transform of Dynamic Supply Current.},
year = {2005},
journal = {J. Electron. Test.},
author = {{Swarup Bhunia} and {Arijit Raychowdhury} and {Kaushik Roy 001}}
}
@article{journals/tc/BhuniaDBR05,
title = {GAARP: A Power-Aware GALS Architecture for Real-Time Algorithm-Specific Tasks.},
year = {2005},
journal = {IEEE Trans. Computers},
author = {{Swarup Bhunia} and {Animesh Datta} and {Nilanjan Banerjee} and {Kaushik Roy 001}}
}
@article{journals/tecs/ChiouBR05,
title = {Synthesis of application-specific highly efficient multi-mode cores for embedded systems.},
year = {2005},
journal = {ACM Trans. Embed. Comput. Syst.},
author = {{Lih-Yih Chiou} and {Swarup Bhunia} and {Kaushik Roy 001}}
}
@article{journals/tvlsi/BhuniaMGMR05,
title = {Low-power scan design using first-level supply gating.},
year = {2005},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Swarup Bhunia} and {Hamid Mahmoodi-Meimand} and {Debjyoti Ghosh} and {Saibal Mukhopadhyay} and {Kaushik Roy 001}}
}
@article{journals/tvlsi/BhuniaR05,
title = {A novel wavelet transform-based transient current analysis for fault detection and localization.},
year = {2005},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Swarup Bhunia} and {Kaushik Roy 001}}
}
@article{journals/tvlsi/ChenMBR05,
title = {Efficient testing of SRAM with optimized march sequences and a novel DFT technique for emerging failures due to process variations.},
year = {2005},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Qikai Chen} and {Hamid Mahmoodi-Meimand} and {Swarup Bhunia} and {Kaushik Roy 001}}
}
@article{journals/tvlsi/RaychowdhuryPBR05,
title = {Computing with subthreshold leakage: device/circuit/architecture co-design for ultralow-power subthreshold operation.},
year = {2005},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Arijit Raychowdhury} and {Bipul Chandra Paul} and {Swarup Bhunia} and {Kaushik Roy 001}}
}
@inproceedings{conf/aspdac/DattaBCMR06,
title = {Speed binning aware design methodology to improve profit under parameter variations.},
year = {2006},
booktitle = {ASP-DAC},
author = {{Animesh Datta} and {Swarup Bhunia} and {Jung Hwan Choi} and {Saibal Mukhopadhyay} and {Kaushik Roy 001}},
publisher = {IEEE},
booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006}
}
@inproceedings{conf/aspdac/GoelBMR06,
title = {Low-overhead design of soft-error-tolerant scan flip-flops with enhanced-scan capability.},
year = {2006},
booktitle = {ASP-DAC},
author = {{Ashish Goel} and {Swarup Bhunia} and {Hamid Mahmoodi-Meimand} and {Kaushik Roy 001}},
publisher = {IEEE},
booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006}
}
@inproceedings{conf/date/BanerjeeRMB06,
title = {Low power synthesis of dynamic logic circuits using fine-grained clock gating.},
year = {2006},
booktitle = {DATE},
author = {{Nilanjan Banerjee} and {Kaushik Roy 001} and {Hamid Mahmoodi-Meimand} and {Swarup Bhunia}},
publisher = {European Design and Automation Association, Leuven, Belgium},
booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006}
}
@inproceedings{conf/date/RaychowdhuryPBR06,
title = {Ultralow power computing with sub-threshold leakage: a comparative study of bulk and SOI technologies.},
year = {2006},
booktitle = {DATE},
author = {{Arijit Raychowdhury} and {Bipul Chandra Paul} and {Swarup Bhunia} and {Kaushik Roy 001}},
publisher = {European Design and Automation Association, Leuven, Belgium},
booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006}
}
@inproceedings{conf/iccad/GhoshBR06,
title = {A new paradigm for low-power, variation-tolerant circuit synthesis using critical path isolation.},
year = {2006},
booktitle = {ICCAD},
author = {{Swaroop Ghosh} and {Swarup Bhunia} and {Kaushik Roy 001}},
publisher = {ACM},
booktitle = {2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006}
}
@inproceedings{conf/iolts/GhoshBRR06,
title = {Delay Fault Localization in Test-Per-Scan BIST Using Built-In Delay Sensor.},
year = {2006},
booktitle = {IOLTS},
author = {{Swaroop Ghosh} and {Swarup Bhunia} and {Arijit Raychowdhury} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {12th IEEE International On-Line Testing Symposium (IOLTS 2006), 10-12 July 2006, Como, Italy}
}
@article{journals/tcad/DattaBMR06,
title = {Delay Modeling and Statistical Design of Pipelined Circuit Under Process Variation.},
year = {2006},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Animesh Datta} and {Swarup Bhunia} and {Saibal Mukhopadhyay} and {Kaushik Roy 001}}
}
@article{journals/tcad/GhoshBRR06,
title = {A Novel Delay Fault Testing Methodology Using Low-Overhead Built-In Delay Sensor.},
year = {2006},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Swaroop Ghosh} and {Swarup Bhunia} and {Arijit Raychowdhury} and {Kaushik Roy 001}}
}
@article{journals/tcad/MukhopadhyayBR06,
title = {Modeling and analysis of loading effect on leakage of nanoscaled bulk-CMOS logic circuits.},
year = {2006},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Saibal Mukhopadhyay} and {Swarup Bhunia} and {Kaushik Roy 001}}
}
@article{journals/tvlsi/BanerjeeRRBM06,
title = {Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis.},
year = {2006},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Nilanjan Banerjee} and {Arijit Raychowdhury} and {Kaushik Roy 001} and {Swarup Bhunia} and {Hamid Mahmoodi}}
}
@inproceedings{conf/aspdac/BhuniaTS07,
title = {Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches.},
year = {2007},
booktitle = {ASP-DAC},
author = {{Swarup Bhunia} and {Massood Tabib-Azar} and {Daniel G. Saab}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007}
}
@inproceedings{conf/date/GhoshBR07,
title = {Low-overhead circuit synthesis for temperature adaptation using dynamic voltage scheduling.},
year = {2007},
booktitle = {DATE},
author = {{Swaroop Ghosh} and {Swarup Bhunia} and {Kaushik Roy 001}},
publisher = {EDA Consortium, San Jose, CA, USA},
booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007}
}
@inproceedings{conf/iccad/PaulKMB07,
title = {Low-overhead design technique for calibration of maximum frequency at multiple operating points.},
year = {2007},
booktitle = {ICCAD},
author = {{Somnath Paul} and {Sivasubramaniam Krishnamurthy} and {Hamid Mahmoodi} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007}
}
@inproceedings{conf/iccd/PaulB07,
title = {Memory based computation using embedded cache for processor yield and reliability improvement.},
year = {2007},
booktitle = {ICCD},
author = {{Somnath Paul} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {25th International Conference on Computer Design, ICCD 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings}
}
@inproceedings{conf/iolts/GhoshNBR07,
title = {Tolerance to Small Delay Defects by Adaptive Clock Stretching.},
year = {2007},
booktitle = {IOLTS},
author = {{Swaroop Ghosh} and {Patrick Ndai} and {Swarup Bhunia} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {13th IEEE International On-Line Testing Symposium (IOLTS 2007), 8-11 July 2007, Heraklion, Crete, Greece}
}
@inproceedings{conf/iolts/PaulCB07,
title = {Defect-Aware Configurable Computing in Nanoscale Crossbar for Improved Yield.},
year = {2007},
booktitle = {IOLTS},
author = {{Somnath Paul} and {Rajat Subhra Chakraborty} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {13th IEEE International On-Line Testing Symposium (IOLTS 2007), 8-11 July 2007, Heraklion, Crete, Greece}
}
@inproceedings{conf/islped/ZhouTB07,
title = {Low power FPGA design using hybrid CMOS-NEMS approach.},
year = {2007},
booktitle = {ISLPED},
author = {{Yu Zhou} and {Shijo Thekkel} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007}
}
@inproceedings{conf/isqed/KrishnamurthyPB07,
title = {Adaptation to Temperature-Induced Delay Variations in Logic Circuits Using Low-Overhead Online Delay Calibration.},
year = {2007},
booktitle = {ISQED},
author = {{Sivasubramaniam Krishnamurthy} and {Somnath Paul} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA}
}
@inproceedings{conf/itc/BhuniaR07,
title = {Power dissipation, variations and nanoscale CMOS design: Test challenges and self-calibration/self-repair solutions.},
year = {2007},
booktitle = {ITC},
author = {{Swarup Bhunia} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {2007 IEEE International Test Conference, ITC 2007, Santa Clara, California, USA, October 21-26, 2007}
}
@inproceedings{conf/vlsid/BhuniaMR07,
title = {Process Variations and Process-Tolerant Design.},
year = {2007},
booktitle = {VLSI Design},
author = {{Swarup Bhunia} and {Saibal Mukhopadhyay} and {Kaushik Roy 001}},
publisher = {IEEE Computer Society},
booktitle = {20th International Conference on VLSI Design (VLSI Design 2007), Sixth International Conference on Embedded Systems (ICES 2007), 6-10 January 2007, Bangalore, India}
}
@inproceedings{conf/vts/PaulCB07,
title = {VIm-Scan: A Low Overhead Scan Design Approach for Protection of Secret Key in Scan-Based Secure Chips.},
year = {2007},
booktitle = {VTS},
author = {{Somnath Paul} and {Rajat Subhra Chakraborty} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {25th IEEE VLSI Test Symposium (VTS 2007), 6-10 May 2007, Berkeley, California, USA}
}
@article{journals/tcad/GhoshBR07,
title = {CRISTA: A New Paradigm for Low-Power, Variation-Tolerant, and Adaptive Circuit Synthesis Using Critical Path Isolation.},
year = {2007},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Swaroop Ghosh} and {Swarup Bhunia} and {Kaushik Roy 001}}
}
@article{journals/tcas/ChakrabortyNB07,
title = {Hybridization of CMOS With CNT-Based Nano-Electromechanical Switch for Low Leakage and Robust Circuit Design.},
year = {2007},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Rajat Subhra Chakraborty} and {Seetharam Narasimhan} and {Swarup Bhunia}}
}
@article{journals/todaes/GhoshBR07,
title = {Low-Power and testable circuit synthesis using Shannon decomposition.},
year = {2007},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Swaroop Ghosh} and {Swarup Bhunia} and {Kaushik Roy 001}}
}
@article{journals/tvlsi/AgarwalKBGR07,
title = {Device-Aware Yield-Centric Dual-Vt Design Under Parameter Variations in Nanoscale Technologies.},
year = {2007},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Amit Agarwal 001} and {Kunhyuk Kang} and {Swarup Bhunia} and {James D. Gallagher} and {Kaushik Roy 001}}
}
@inproceedings{conf/aspdac/SomnathB08,
title = {MBARC: A scalable memory based reconfigurable computing framework for nanoscale devices.},
year = {2008},
booktitle = {ASP-DAC},
author = {{Somnath Paul} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008}
}
@inproceedings{conf/dac/NarasimhanPB08,
title = {Collective computing based on swarm intelligence.},
year = {2008},
booktitle = {DAC},
author = {{Seetharam Narasimhan} and {Somnath Paul} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008}
}
@inproceedings{conf/dac/PaulB08,
title = {Reconfigurable computing using content addressable memory for improved performance and resource usage.},
year = {2008},
booktitle = {DAC},
author = {{Somnath Paul} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008}
}
@inproceedings{conf/date/LeinweberB08,
title = {Fine-Grained Supply Gating Through Hypergraph Partitioning and Shannon Decomposition for Active Power Reduction.},
year = {2008},
booktitle = {DATE},
author = {{Lawrence Leinweber} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008}
}
@inproceedings{conf/date/WolffPBC08,
title = {Towards Trojan-Free Trusted ICs: Problem Analysis and Detection Scheme.},
year = {2008},
booktitle = {DATE},
author = {{Francis G. Wolff} and {Christos A. Papachristou} and {Swarup Bhunia} and {Rajat Subhra Chakraborty}},
publisher = {ACM},
booktitle = {Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008}
}
@inproceedings{conf/date/ZhouPB08,
title = {Harvesting Wasted Heat in a Microprocessor Using Thermoelectric Generators: Modeling, Analysis and Measurement.},
year = {2008},
booktitle = {DATE},
author = {{Yu Zhou} and {Somnath Paul} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008}
}
@inproceedings{conf/glvlsi/HoltzNB08,
title = {On-die CMOS voltage droop detection and dynamiccompensation.},
year = {2008},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Matthew Seetharam A. Holtz} and {Seetharam Narasimhan} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008}
}
@inproceedings{conf/host/ChakrabortyPB08,
title = {On-Demand Transparency for Improving Hardware Trojan Detectability.},
year = {2008},
booktitle = {HOST},
author = {{Rajat Subhra Chakraborty} and {Somnath Paul} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {IEEE International Workshop on Hardware-Oriented Security and Trust, HOST 2008, Anaheim, CA, USA, June 9, 2008. Proceedings}
}
@inproceedings{conf/iccad/ChakrabortyB08,
title = {Hardware protection and authentication through netlist level obfuscation.},
year = {2008},
booktitle = {ICCAD},
author = {{Rajat Subhra Chakraborty} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008}
}
@inproceedings{conf/iccad/PaulMB08,
title = {Hybrid CMOS-STTRAM non-volatile FPGA: design challenges and optimization approaches.},
year = {2008},
booktitle = {ICCAD},
author = {{Somnath Paul} and {Saibal Mukhopadhyay} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008}
}
@inproceedings{conf/islped/BhuniaR08,
title = {Low power design under parameter variations.},
year = {2008},
booktitle = {ISLPED},
author = {{Swarup Bhunia} and {Kaushik Roy 001}},
publisher = {ACM},
booktitle = {Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008, Bangalore, India, August 11-13, 2008}
}
@inproceedings{conf/isqed/ChakrabortyB08,
title = {Micropipeline-Based Asynchronous Design Methodology for Robust System Design Using Nanoscale Crossbar.},
year = {2008},
booktitle = {ISQED},
author = {{Rajat Subhra Chakraborty} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA}
}
@inproceedings{conf/isqed/ZhouPB08,
title = {Towards Uniform Temperature Distribution in SOI Circuits Using Carbon Nanotube Based Thermal Interconnect.},
year = {2008},
booktitle = {ISQED},
author = {{Yu Zhou} and {Somnath Paul} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA}
}
@inproceedings{conf/vlsid/ChakrabortyPB08,
title = {Analysis and Robust Design of Diode-Resistor Based Nanoscale Crossbar PLA Circuits.},
year = {2008},
booktitle = {VLSI Design},
author = {{Rajat Subhra Chakraborty} and {Somnath Paul} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {21st International Conference on VLSI Design (VLSI Design 2008), 4-8 January 2008, Hyderabad, India}
}
@article{journals/et/BhuniaMRR08,
title = {Arbitrary Two-Pattern Delay Testing Using a Low-Overhead Supply Gating Technique.},
year = {2008},
journal = {J. Electron. Test.},
author = {{Swarup Bhunia} and {Hamid Mahmoodi} and {Arijit Raychowdhury} and {Kaushik Roy 001}}
}
@article{journals/tc/NdaiBAR08,
title = {Within-Die Variation-Aware Scheduling in Superscalar Processors for Improved Throughput.},
year = {2008},
journal = {IEEE Trans. Computers},
author = {{Patrick Ndai} and {Swarup Bhunia} and {Amit Agarwal 001} and {Kaushik Roy 001}}
}
@article{journals/tvlsi/DattaBCMR08,
title = {Profit Aware Circuit Design Under Process Variations Considering Speed Binning.},
year = {2008},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Animesh Datta} and {Swarup Bhunia} and {Jung Hwan Choi} and {Saibal Mukhopadhyay} and {Kaushik Roy 001}}
}
@inproceedings{conf/ches/ChakrabortyWPPB09,
title = {MERO: A Statistical Approach for Hardware Trojan Detection.},
year = {2009},
booktitle = {CHES},
author = {{Rajat Subhra Chakraborty} and {Francis G. Wolff} and {Somnath Paul} and {Christos A. Papachristou} and {Swarup Bhunia}},
publisher = {Springer},
booktitle = {Cryptographic Hardware and Embedded Systems - CHES 2009, 11th International Workshop, Lausanne, Switzerland, September 6-9, 2009, Proceedings}
}
@inproceedings{conf/hldvt/ChakrabortyNB09,
title = {Hardware Trojan: Threats and emerging solutions.},
year = {2009},
booktitle = {HLDVT},
author = {{Rajat Subhra Chakraborty} and {Seetharam Narasimhan} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {IEEE International High Level Design Validation and Test Workshop, HLDVT 2009, San Francisco, CA, USA, 4-6 November 2009}
}
@inproceedings{conf/host/ChakrabortyB09,
title = {Security Through Obscurity: An Approach for Protecting Register Transfer Level Hardware IP.},
year = {2009},
booktitle = {HOST},
author = {{Rajat Subhra Chakraborty} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {IEEE International Workshop on Hardware-Oriented Security and Trust, HOST 2009, San Francisco, CA, USA, July 27, 2009. Proceedings}
}
@inproceedings{conf/host/McIntyreWPB09,
title = {Dynamic Evaluation of Hardware Trust.},
year = {2009},
booktitle = {HOST},
author = {{David R. McIntyre} and {Francis G. Wolff} and {Christos A. Papachristou} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {IEEE International Workshop on Hardware-Oriented Security and Trust, HOST 2009, San Francisco, CA, USA, July 27, 2009. Proceedings}
}
@inproceedings{conf/iccad/ChakrabortyB09,
title = {Security against hardware Trojan through a novel application of design obfuscation.},
year = {2009},
booktitle = {ICCAD},
author = {{Rajat Subhra Chakraborty} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {2009 International Conference on Computer-Aided Design, ICCAD 2009, San Jose, CA, USA, November 2-5, 2009}
}
@inproceedings{conf/iccad/PaulCMB09,
title = {A circuit-software co-design approach for improving EDP in reconfigurable frameworks.},
year = {2009},
booktitle = {ICCAD},
author = {{Somnath Paul} and {Subho Chatterjee} and {Saibal Mukhopadhyay} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {2009 International Conference on Computer-Aided Design, ICCAD 2009, San Jose, CA, USA, November 2-5, 2009}
}
@inproceedings{conf/nanoarch/PaulB09,
title = {Computing with nanoscale memory: Model and architecture.},
year = {2009},
booktitle = {NANOARCH},
author = {{Somnath Paul} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {2009 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2009, San Francisco, CA, USA, July 30-31, 2009}
}
@article{journals/iet-cdt/ChakrabortyPZB09,
title = {Low-power hybrid complementary metaloxide- semiconductor-nano-electro-mechanical systems field programmable gate array: circuit level analysis and defect-aware mapping.},
year = {2009},
journal = {IET Comput. Digit. Tech.},
author = {{Rajat Subhra Chakraborty} and {Somnath Paul} and {Yu Zhou} and {Swarup Bhunia}}
}
@article{journals/jetc/ChakrabortyB09,
title = {A study of asynchronous design methodology for robust CMOS-nano hybrid system design.},
year = {2009},
journal = {ACM J. Emerg. Technol. Comput. Syst.},
author = {{Rajat Subhra Chakraborty} and {Swarup Bhunia}}
}
@article{journals/tcad/ChakrabortyB09,
title = {HARPOON: An Obfuscation-Based SoC Design Methodology for Hardware Protection.},
year = {2009},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Rajat Subhra Chakraborty} and {Swarup Bhunia}}
}
@inproceedings{conf/ahs/NarasimhanPCWPWB10,
title = {System level self-healing for parametric yield and reliability improvement under power bound.},
year = {2010},
booktitle = {AHS},
author = {{Seetharam Narasimhan} and {Somnath Paul} and {Rajat Subhra Chakraborty} and {Francis G. Wolff} and {Christos A. Papachristou} and {Daniel J. Weyer} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {2010 NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2010, Anaheim, California, USA, June 15-18, 2010}
}
@inproceedings{conf/ches/DuNCB10,
title = {Self-referencing: A Scalable Side-Channel Approach for Hardware Trojan Detection.},
year = {2010},
booktitle = {CHES},
author = {{Dongdong Du} and {Seetharam Narasimhan} and {Rajat Subhra Chakraborty} and {Swarup Bhunia}},
publisher = {Springer},
booktitle = {Cryptographic Hardware and Embedded Systems, CHES 2010, 12th International Workshop, Santa Barbara, CA, USA, August 17-20, 2010. Proceedings}
}
@inproceedings{conf/green/NarasimhanMWZWB10,
title = {A supply-demand model based scalable energy management system for improved energy utilization efficiency.},
year = {2010},
booktitle = {Green Computing Conference},
author = {{Seetharam Narasimhan} and {David R. McIntyre} and {Francis G. Wolff} and {Yu Zhou} and {Daniel J. Weyer} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {International Green Computing Conference 2010, Chicago, IL, USA, 15-18 August 2010}
}
@inproceedings{conf/host/NarasimhanCDPWPRB10,
title = {Multiple-Parameter Side-Channel Analysis: A Non-invasive Hardware Trojan Detection Approach.},
year = {2010},
booktitle = {HOST},
author = {{Seetharam Narasimhan} and {Rajat Subhra Chakraborty} and {Dongdong Du} and {Somnath Paul} and {Francis G. Wolff} and {Christos A. Papachristou} and {Kaushik Roy 001} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {HOST 2010, Proceedings of the 2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), 13-14 June 2010, Anaheim Convention Center, California, USA}
}
@inproceedings{conf/iolts/McIntyreWPB10,
title = {Trustworthy computing in a multi-core system using distributed scheduling.},
year = {2010},
booktitle = {IOLTS},
author = {{David R. McIntyre} and {Francis G. Wolff} and {Christos A. Papachristou} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {16th IEEE International On-Line Testing Symposium (IOLTS 2010), 5-7 July, 2010, Corfu, Greece}
}
@inproceedings{conf/islped/PaulB10,
title = {VAIL: variation-aware issue logic and performance binning for processor yield and profit improvement.},
year = {2010},
booktitle = {ISLPED},
author = {{Somnath Paul} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010}
}
@inproceedings{conf/vlsid/ChakrabortyB10,
title = {RTL Hardware IP Protection Using Key-Based Control and Data Flow Obfuscation.},
year = {2010},
booktitle = {VLSI Design},
author = {{Rajat Subhra Chakraborty} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {VLSI Design 2010: 23rd International Conference on VLSI Design, 9th International Conference on Embedded Systems, Bangalore, India, 3-7 January 2010}
}
@inproceedings{conf/vts/BhuniaR10,
title = {Special session 11B: Hot topic hardware security: Design, test and verification issues.},
year = {2010},
booktitle = {VTS},
author = {{Swarup Bhunia} and {Anand Raghunathan}},
publisher = {IEEE Computer Society},
booktitle = {28th IEEE VLSI Test Symposium, VTS 2010, April 19-22, 2010, Santa Cruz, California, USA}
}
@article{journals/corr/abs-1010-2447,
title = {Collaborative Trust: A Novel Paradigm of Trusted Mobile Computing},
year = {2010},
journal = {CoRR},
author = {{Tatini Mal-Sarkar} and {Swarup Bhunia}}
}
@article{journals/dt/BhuniaR10,
title = {Guest Editors' Introduction: Managing Uncertainty through Postfabrication Calibration and Repair.},
year = {2010},
journal = {IEEE Des. Test Comput.},
author = {{Swarup Bhunia} and {Rahul Rao}}
}
@article{journals/jolpe/Bhunia10,
title = {A Special Issue on 23rd IEEE International Conference on VLSI Design, Bangalore, India, 3-7 January 2010.},
year = {2010},
journal = {J. Low Power Electron.},
author = {{Swarup Bhunia}}
}
@article{journals/todaes/PaulMB10,
title = {Low-overhead Fmax calibration at multiple operating points using delay-sensitivity-based path selection.},
year = {2010},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Somnath Paul} and {Hamid Mahmoodi} and {Swarup Bhunia}}
}
@article{journals/tvlsi/NdaiRTGBR10,
title = {Trifecta: A Nonspeculative Scheme to Exploit Common, Data-Dependent Subcritical Paths.},
year = {2010},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Patrick Ndai} and {Nauman Rafique} and {Mithuna Thottethodi} and {Swaroop Ghosh} and {Swarup Bhunia} and {Kaushik Roy 001}}
}
@inproceedings{conf/ches/KrishnaNWB11,
title = {MECCA: A Robust Low-Overhead PUF Using Embedded Memory Array.},
year = {2011},
booktitle = {CHES},
author = {{Aswin Raghav Krishna} and {Seetharam Narasimhan} and {Xinmu Wang} and {Swarup Bhunia}},
publisher = {Springer},
booktitle = {Cryptographic Hardware and Embedded Systems - CHES 2011 - 13th International Workshop, Nara, Japan, September 28 - October 1, 2011. Proceedings}
}
@inproceedings{conf/date/AliCMB11,
title = {Multi-level attacks: An emerging security concern for cryptographic hardware.},
year = {2011},
booktitle = {DATE},
author = {{Subidh Ali} and {Rajat Subhra Chakraborty} and {Debdeep Mukhopadhyay} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {Design, Automation and Test in Europe, DATE 2011, Grenoble, France, March 14-18, 2011}
}
@inproceedings{conf/date/WangNKWRLMB11,
title = {High-temperature (>500°C) reconfigurable computing using silicon carbide NEMS switches.},
year = {2011},
booktitle = {DATE},
author = {{Xinmu Wang} and {Seetharam Narasimhan} and {Aswin Raghav Krishna} and {Francis G. Wolff} and {Srihari Rajgopal} and {Te-Hao Lee} and {Mehran Mehregany} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {Design, Automation and Test in Europe, DATE 2011, Grenoble, France, March 14-18, 2011}
}
@inproceedings{conf/embc/BasakNB11,
title = {Low-power implantable ultrasound imager for online monitoring of tumor growth.},
year = {2011},
booktitle = {EMBC},
author = {{Abhishek Basak} and {Seetharam Narasimhan} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC 2011, Boston, MA, USA, August 30 - Sept. 3, 2011}
}
@inproceedings{conf/fpga/PaulB11,
title = {Memory based computing: reshaping the fine-grained logic in a reconfigurable framework (abstract only).},
year = {2011},
booktitle = {FPGA},
author = {{Somnath Paul} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {Proceedings of the ACM/SIGDA 19th International Symposium on Field Programmable Gate Arrays, FPGA 2011, Monterey, California, USA, February 27, March 1, 2011}
}
@inproceedings{conf/host/NarasimhanWDCB11,
title = {TeSR: A robust Temporal Self-Referencing approach for Hardware Trojan detection.},
year = {2011},
booktitle = {HOST},
author = {{Seetharam Narasimhan} and {Xinmu Wang} and {Dongdong Du} and {Rajat Subhra Chakraborty} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {HOST 2011, Proceedings of the 2011 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), 5-6 June 2011, San Diego, California, USA}
}
@inproceedings{conf/iccd/WangNKMB11,
title = {Sequential hardware Trojan: Side-channel aware design and placement.},
year = {2011},
booktitle = {ICCD},
author = {{Xinmu Wang} and {Seetharam Narasimhan} and {Aswin Raghav Krishna} and {Tatini Mal-Sarkar} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {IEEE 29th International Conference on Computer Design, ICCD 2011, Amherst, MA, USA, October 9-12, 2011}
}
@inproceedings{conf/infosechicomnet/ChakrabortyNB11,
title = {Embedded Software Security through Key-Based Control Flow Obfuscation.},
year = {2011},
booktitle = {InfoSecHiComNet},
author = {{Rajat Subhra Chakraborty} and {Seetharam Narasimhan} and {Swarup Bhunia}},
publisher = {Springer},
booktitle = {Security Aspects in Information Technology - First International Conference, InfoSecHiComNet 2011, Haldia, India, October 19-22, 2011. Proceedings}
}
@inproceedings{conf/nanoarch/WangNPB11,
title = {NEMTronics: Symbiotic integration of nanoelectronic and nanomechanical devices for energy-efficient adaptive computing.},
year = {2011},
booktitle = {NANOARCH},
author = {{Xinmu Wang} and {Seetharam Narasimhan} and {Somnath Paul} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2011, San Diego, CA, USA, June 8-9, 2011}
}
@inproceedings{conf/vlsid/KunaparajuNB11,
title = {VaROT: Methodology for Variation-Tolerant DSP Hardware Design Using Post-Silicon Truncation of Operand Width.},
year = {2011},
booktitle = {VLSI Design},
author = {{Keerthi Kunaparaju} and {Seetharam Narasimhan} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {VLSI Design 2011: 24th International Conference on VLSI Design, IIT Madras, Chennai, India, 2-7 January 2011}
}
@article{journals/esticas/PaulCMB11,
title = {Energy-Efficient Reconfigurable Computing Using a Circuit-Architecture-Software Co-Design Approach.},
year = {2011},
journal = {IEEE J. Emerg. Sel. Topics Circuits Syst.},
author = {{Somnath Paul} and {Subho Chatterjee} and {Saibal Mukhopadhyay} and {Swarup Bhunia}}
}
@article{journals/et/ChakrabortyB11,
title = {Security Against Hardware Trojan Attacks Using Key-Based Design Obfuscation.},
year = {2011},
journal = {J. Electron. Test.},
author = {{Rajat Subhra Chakraborty} and {Swarup Bhunia}}
}
@article{journals/tbcas/NarasimhanCB11,
title = {Ultra-Low-Power and Robust Digital-Signal-Processing Hardware for Implantable Neural Interface Microsystems.},
year = {2011},
journal = {IEEE Trans. Biomed. Circuits Syst.},
author = {{Seetharam Narasimhan} and {Hillel J. Chiel} and {Swarup Bhunia}}
}
@article{journals/tc/PaulCZB11,
title = {Reliability-Driven ECC Allocation for Multiple Bit Error Resilience in Processor Cache.},
year = {2011},
journal = {IEEE Trans. Computers},
author = {{Somnath Paul} and {Fang Cai} and {Xinmiao Zhang} and {Swarup Bhunia}}
}
@article{journals/tvlsi/PaulB11,
title = {Dynamic Transfer of Computation to Processor Cache for Yield and Reliability Improvement.},
year = {2011},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Somnath Paul} and {Swarup Bhunia}}
}
@inproceedings{conf/dft/WangMKNB12,
title = {Software exploitable hardware Trojans in embedded processor.},
year = {2012},
booktitle = {DFT},
author = {{Xinmu Wang} and {Tatini Mal-Sarkar} and {Aswin Raghav Krishna} and {Seetharam Narasimhan} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2012, Austin, TX, USA, October 3-5, 2012}
}
@inproceedings{conf/embc/BasakRNB12,
title = {Implantable ultrasonic dual functional assembly for detection and treatment of anomalous growth.},
year = {2012},
booktitle = {EMBC},
author = {{Abhishek Basak} and {Vaishnavi Nattar Ranganathan} and {Seetharam Narasimhan} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC 2012, San Diego, CA, USA, August 28 - September 1, 2012}
}
@inproceedings{conf/glvlsi/RahmaniMB12,
title = {Memory-based computing for performance and energy improvement in multicore architectures.},
year = {2012},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Kamran Rahmani} and {Prabhat Mishra 001} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {Great Lakes Symposium on VLSI 2012, GLSVLSI'12, Salt Lake City, UT, USA, May 3-4, 2012}
}
@inproceedings{conf/vlsid/GhoshPB12,
title = {Energy-Efficient Application Mapping in FPGA through Computation in Embedded Memory Blocks.},
year = {2012},
booktitle = {VLSI Design},
author = {{Anandaroop Ghosh} and {Somnath Paul} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {25th International Conference on VLSI Design, Hyderabad, India, January 7-11, 2012}
}
@inproceedings{conf/vlsid/Sur-KolayB12,
title = {Tutorial T4: Intellectual Property Protection and Security in System-on-Chip Design.},
year = {2012},
booktitle = {VLSI Design},
author = {{Susmita Sur-Kolay} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {25th International Conference on VLSI Design, Hyderabad, India, January 7-11, 2012}
}
@inproceedings{conf/vlsid/WangNKB12,
title = {SCARE: Side-Channel Analysis Based Reverse Engineering for Post-Silicon Validation.},
year = {2012},
booktitle = {VLSI Design},
author = {{Xinmu Wang} and {Seetharam Narasimhan} and {Aswin Raghav Krishna} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {25th International Conference on VLSI Design, Hyderabad, India, January 7-11, 2012}
}
@inproceedings{conf/vlsid/WangPB12,
title = {Width-Aware Fine-Grained Dynamic Supply Gating: A Design Methodology for Low-Power Datapath and Memory.},
year = {2012},
booktitle = {VLSI Design},
author = {{Lei Wang} and {Somnath Paul} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {25th International Conference on VLSI Design, Hyderabad, India, January 7-11, 2012}
}
@article{journals/dt/NarasimhanYWMB12,
title = {Improving IC Security Against Trojan Attacks Through Integration of Security Monitors.},
year = {2012},
journal = {IEEE Des. Test Comput.},
author = {{Seetharam Narasimhan} and {Wen Yueh} and {Xinmu Wang} and {Saibal Mukhopadhyay} and {Swarup Bhunia}}
}
@article{journals/jcsc/LeeBBRJ12,
title = {Self-Healing Design in Deep Scaled CMOS Technologies.},
year = {2012},
journal = {J. Circuits Syst. Comput.},
author = {{Jangjoon Lee} and {Srikar Bhagavatula} and {Swarup Bhunia} and {Kaushik Roy 001} and {Byunghoo Jung}}
}
@article{journals/jetc/BhuniaY12,
title = {Introduction to Special Issue on Implantable Electronics.},
year = {2012},
journal = {ACM J. Emerg. Technol. Comput. Syst.},
author = {{Swarup Bhunia} and {Darrin J. Young}}
}
@article{journals/tcas/NarasimhanKB12,
title = {Healing of DSP Circuits Under Power Bound Using Post-Silicon Operand Bitwidth Truncation.},
year = {2012},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Seetharam Narasimhan} and {Keerthi Kunaparaju} and {Swarup Bhunia}}
}
@incollection{reference/crc/NarasimhanB12,
title = {Ultralow-Power Implantable Electronics.},
year = {2012},
booktitle = {Handbook of Energy-Aware and Green Computing},
author = {{Seetharam Narasimhan} and {Swarup Bhunia}},
publisher = {Chapman and Hall/CRC},
booktitle = {Handbook of Energy-Aware and Green Computing - Two Volume Set.}
}
@inproceedings{conf/aspdac/ZhengKB13,
title = {ScanPUF: Robust ultralow-overhead PUF using scan chain.},
year = {2013},
booktitle = {ASP-DAC},
author = {{Yu Zheng 011} and {Aswin Raghav Krishna} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {18th Asia and South Pacific Design Automation Conference, ASP-DAC 2013, Yokohama, Japan, January 22-25, 2013}
}
@inproceedings{conf/dac/WangYRNZMMB13,
title = {Role of power grid in side channel attack and power-grid-aware secure design.},
year = {2013},
booktitle = {DAC},
author = {{Xinmu Wang} and {Wen Yueh} and {Debapriya Basu Roy} and {Seetharam Narasimhan} and {Yu Zheng 011} and {Saibal Mukhopadhyay} and {Debdeep Mukhopadhyay} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {The 50th Annual Design Automation Conference 2013, DAC '13, Austin, TX, USA, May 29 - June 07, 2013}
}
@inproceedings{conf/dac/ZhengHB13,
title = {RESP: a robust physical unclonable function retrofitted into embedded SRAM array.},
year = {2013},
booktitle = {DAC},
author = {{Yu Zheng 011} and {Maryamsadat Hashemian} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {The 50th Annual Design Automation Conference 2013, DAC '13, Austin, TX, USA, May 29 - June 07, 2013}
}
@inproceedings{conf/mtv/BasakMB13,
title = {Secure and Trusted SoC: Challenges and Emerging Solutions.},
year = {2013},
booktitle = {MTV},
author = {{Abhishek Basak} and {Sanchita Mal-Sarkar} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {14th International Workshop on Microprocessor Test and Verification, MTV 2013, Austin, TX, USA, December 11-13, 2013}
}
@inproceedings{conf/mwscas/BasakPP0B13,
title = {Reconfigurable ECC for adaptive protection of memory.},
year = {2013},
booktitle = {MWSCAS},
author = {{Abhishek Basak} and {Somnath Paul} and {Jangwon Park} and {Jongsun Park 001} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {IEEE 56th International Midwest Symposium on Circuits and Systems, MWSCAS 2013, Columbus, OH, USA, August 4-7, 2013}
}
@inproceedings{conf/nanoarch/HajimiriMBLLJ13,
title = {Content-aware encoding for improving energy efficiency in multi-level cell resistive random access memory.},
year = {2013},
booktitle = {NANOARCH},
author = {{Hadi Hajimiri} and {Prabhat Mishra 001} and {Swarup Bhunia} and {Branden Long} and {Yibo Li} and {Rashmi Jha}},
publisher = {IEEE Computer Society},
booktitle = {IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2013, Brooklyn, NY, USA, July 15-17, 2013}
}
@inproceedings{conf/nanoarch/RanganathanHRMFB13,
title = {Nanomechanical non-volatile memory for computing at extreme.},
year = {2013},
booktitle = {NANOARCH},
author = {{Vaishnavi Nattar Ranganathan} and {Tina He} and {Srihari Rajgopal} and {Mehran Mehregany} and {Philip X.-L. Feng} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2013, Brooklyn, NY, USA, July 15-17, 2013}
}
@inproceedings{conf/nems/HeYRBMF13,
title = {Dual-gate silicon carbide (SiC) lateral nanoelectromechanical switches.},
year = {2013},
booktitle = {NEMS},
author = {{Tina He} and {Rui Yang} and {Srihari Rajgopal} and {Swarup Bhunia} and {Mehran Mehregany} and {Philip X.-L. Feng}},
publisher = {IEEE},
booktitle = {8th IEEE International Conference on Nano/Micro Engineered and Molecular Systems, NEMS 2013, Suzhou, China, April 7-10, 2013}
}
@inproceedings{conf/vlsid/HajimiriMB13,
title = {Dynamic Cache Tuning for Efficient Memory Based Computing in Multicore Architectures.},
year = {2013},
booktitle = {VLSI Design},
author = {{Hadi Hajimiri} and {Prabhat Mishra 001} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, Pune, India, January 5-10, 2013}
}
@inproceedings{conf/vlsid/HashemianB13,
title = {Ultralow-Power and Robust Embedded Memory for Bioimplantable Microsystems.},
year = {2013},
booktitle = {VLSI Design},
author = {{Maryamsadat Hashemian} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, Pune, India, January 5-10, 2013}
}
@article{journals/dt/BhuniaAABHPT13,
title = {Protection Against Hardware Trojan Attacks: Towards a Comprehensive Solution.},
year = {2013},
journal = {IEEE Des. Test},
author = {{Swarup Bhunia} and {Miron Abramovici} and {Dakshi Agrawal} and {Paul Bradley} and {Michael S. Hsiao} and {Jim Plusquellic} and {Mohammad Tehranipoor}}
}
@article{journals/tc/NarasimhanDCPWPRB13,
title = {Hardware Trojan Detection by Multiple-Parameter Side-Channel Analysis.},
year = {2013},
journal = {IEEE Trans. Computers},
author = {{Seetharam Narasimhan} and {Dongdong Du} and {Rajat Subhra Chakraborty} and {Somnath Paul} and {Francis G. Wolff} and {Christos A. Papachristou} and {Kaushik Roy 001} and {Swarup Bhunia}}
}
@inproceedings{conf/dac/ZhengBB14,
title = {CACI: Dynamic Current Analysis Towards Robust Recycled Chip Identification.},
year = {2014},
booktitle = {DAC},
author = {{Yu Zheng 011} and {Abhishek Basak} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {The 51st Annual Design Automation Conference 2014, DAC '14, San Francisco, CA, USA, June 1-5, 2014}
}
@inproceedings{conf/date/BhuniaRHRYMF14,
title = {Toward ultralow-power computing at exteme with silicon carbide (SiC) nanoelectromechanical logic.},
year = {2014},
booktitle = {DATE},
author = {{Swarup Bhunia} and {Vaishnavi Nattar Ranganathan} and {Tina He} and {Srihari Rajgopal} and {Rui Yang} and {Mehran Mehregany} and {Philip X.-L. Feng}},
publisher = {European Design and Automation Association},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014}
}
@inproceedings{conf/date/PaulKBP14,
title = {Energy-efficient hardware acceleration through computing in the memory.},
year = {2014},
booktitle = {DATE},
author = {{Somnath Paul} and {Robert Karam} and {Swarup Bhunia} and {Ruchir Puri}},
publisher = {European Design and Automation Association},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014}
}
@inproceedings{conf/glvlsi/Mal-SarkarKGB14,
title = {Hardware trojan attacks in FPGA devices: threat analysis and effective counter measures.},
year = {2014},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Sanchita Mal-Sarkar} and {Aswin Raghav Krishna} and {Anandaroop Ghosh} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21 - 23, 2014}
}
@inproceedings{conf/glvlsi/QianKB14,
title = {Trade-off between energy and quality of service through dynamic operand truncation and fusion.},
year = {2014},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Wenchao Qian} and {Robert Karam} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21 - 23, 2014}
}
@inproceedings{conf/iccad/ChePB14,
title = {A non-volatile memory based physically unclonable function without helper data.},
year = {2014},
booktitle = {ICCAD},
author = {{Wenjie Che} and {Jim Plusquellic} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {The IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2014, San Jose, CA, USA, November 3-6, 2014}
}
@inproceedings{conf/icicdt/PaulMB14,
title = {Robust low-power reconfigurable computing with a variation-aware preferential design approach.},
year = {2014},
booktitle = {ICICDT},
author = {{Somnath Paul} and {Saibal Mukhopadhyay} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {2014 IEEE International Conference on IC Design & Technology, ICICDT 2014, Austin, TX, USA, May 28-30, 2014}
}
@inproceedings{conf/nems/RanganathanRMB14,
title = {Analysis of practical scaling limits in nanoelectromechanical switches.},
year = {2014},
booktitle = {NEMS},
author = {{Vaishnavi Nattar Ranganathan} and {Srihari Rajgopal} and {Mehran Mehregany} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {9th IEEE International Conference on Nano/Micro Engineered and Molecular Systems, NEMS 2014, Waikiki Beach, HI, USA, April 13-16, 2014}
}
@inproceedings{conf/vts/BasakZB14,
title = {Active defense against counterfeiting attacks through robust antifuse-based on-chip locks.},
year = {2014},
booktitle = {VTS},
author = {{Abhishek Basak} and {Yu Zheng 011} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {32nd IEEE VLSI Test Symposium, VTS 2014, Napa, CA, USA, April 13-17, 2014}
}
@article{journals/esticas/MukhopadhyayBHR14,
title = {Guest Editorial Computing in Emerging Technologies (First Issue).},
year = {2014},
journal = {IEEE J. Emerg. Sel. Topics Circuits Syst.},
author = {{Saibal Mukhopadhyay} and {Swarup Bhunia} and {Hillery C. Hunter} and {Kaushik Roy 001}}
}
@article{journals/pieee/BhuniaHBN14,
title = {Hardware Trojan Attacks: Threat Analysis and Countermeasures.},
year = {2014},
journal = {Proc. IEEE},
author = {{Swarup Bhunia} and {Michael S. Hsiao} and {Mainak Banga} and {Seetharam Narasimhan}}
}
@article{journals/tbcas/BasakRB14,
title = {Implantable Ultrasonic Imaging Assembly for Automated Monitoring of Internal Organs.},
year = {2014},
journal = {IEEE Trans. Biomed. Circuits Syst.},
author = {{Abhishek Basak} and {Vaishnavi Nattar Ranganathan} and {Swarup Bhunia}}
}
@article{journals/tcas/ParkPB14,
title = {VL-ECC: Variable Data-Length Error Correction Code for Embedded Memory in DSP Applications.},
year = {2014},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Jangwon Park} and {Jongsun Park 001} and {Swarup Bhunia}}
}
@article{journals/tvlsi/GhoshPPB14,
title = {Improving Energy Efficiency in FPGA Through Judicious Mapping of Computation to Embedded Memory Blocks.},
year = {2014},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Anandaroop Ghosh} and {Somnath Paul} and {Jongsun Park 001} and {Swarup Bhunia}}
}
@article{journals/tvlsi/PaulMB14,
title = {A Variation-Aware Preferential Design Approach for Memory-Based Reconfigurable Computing.},
year = {2014},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Somnath Paul} and {Saibal Mukhopadhyay} and {Swarup Bhunia}}
}
@inproceedings{conf/dac/JangPGB15,
title = {Self-correcting STTRAM under magnetic field attacks.},
year = {2015},
booktitle = {DAC},
author = {{Jae-Won Jang} and {Jongsun Park 001} and {Swaroop Ghosh} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}
}
@inproceedings{conf/dac/RayYBB15,
title = {Correctness and security at odds: post-silicon validation of modern SoC designs.},
year = {2015},
booktitle = {DAC},
author = {{Sandip Ray} and {Jin Yang 006} and {Abhishek Basak} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}
}
@inproceedings{conf/iccad/BasakBR15,
title = {A Flexible Architecture for Systematic Implementation of SoC Security Policies.},
year = {2015},
booktitle = {ICCAD},
author = {{Abhishek Basak} and {Swarup Bhunia} and {Sandip Ray}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015, Austin, TX, USA, November 2-6, 2015}
}
@inproceedings{conf/itc/BasakZB15,
title = {PiRA: IC authentication utilizing intrinsic variations in pin resistance.},
year = {2015},
booktitle = {ITC},
author = {{Abhishek Basak} and {Fengchao Zhang} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {2015 IEEE International Test Conference, ITC 2015, Anaheim, CA, USA, October 6-8, 2015}
}
@inproceedings{conf/mwscas/KaramYB15,
title = {Energy-efficient reconfigurable computing using Spintronic memory.},
year = {2015},
booktitle = {MWSCAS},
author = {{Robert Karam} and {Kai Yang 028} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {IEEE 58th International Midwest Symposium on Circuits and Systems, MWSCAS 2015, Fort Collins, CO, USA, August 2-5, 2015}
}
@inproceedings{conf/vlsid/MishraBR15,
title = {Tutorial T2: Validation and Debug of Security and Trust Issues in Embedded Systems.},
year = {2015},
booktitle = {VLSID},
author = {{Prabhat Mishra 001} and {Swarup Bhunia} and {Srivaths Ravi 001}},
publisher = {IEEE Computer Society},
booktitle = {28th International Conference on VLSI Design, VLSID 2015, Bangalore, India, January 3-7, 2015}
}
@inproceedings{conf/vts/ZhangHB15,
title = {Robust counterfeit PCB detection exploiting intrinsic trace impedance variations.},
year = {2015},
booktitle = {VTS},
author = {{Fengchao Zhang} and {Andrew Hennessy} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {33rd IEEE VLSI Test Symposium, VTS 2015, Napa, CA, USA, April 27-29, 2015}
}
@article{journals/dt/GhoshBB15,
title = {How Secure Are Printed Circuit Boards Against Trojan Attacks?},
year = {2015},
journal = {IEEE Des. Test},
author = {{Swaroop Ghosh} and {Abhishek Basak} and {Swarup Bhunia}}
}
@article{journals/esticas/MukhopadhyayBHR15,
title = {Guest Editorial Computing in Emerging Technologies (Second Issue).},
year = {2015},
journal = {IEEE J. Emerg. Sel. Topics Circuits Syst.},
author = {{Saibal Mukhopadhyay} and {Swarup Bhunia} and {Hillery C. Hunter} and {Kaushik Roy 001}}
}
@article{journals/esticas/RoyFFKSPCBM15,
title = {Exploring Spin Transfer Torque Devices for Unconventional Computing.},
year = {2015},
journal = {IEEE J. Emerg. Sel. Topics Circuits Syst.},
author = {{Kaushik Roy 001} and {Deliang Fan} and {Xuanyao Fong} and {Yusung Kim 002} and {Mrigank Sharad} and {Somnath Paul} and {Subho Chatterjee} and {Swarup Bhunia} and {Saibal Mukhopadhyay}}
}
@article{journals/pieee/KaramPGB15,
title = {Emerging Trends in Design and Applications of Memory-Based Computing and Content-Addressable Memories.},
year = {2015},
journal = {Proc. IEEE},
author = {{Robert Karam} and {Ruchir Puri} and {Swaroop Ghosh} and {Swarup Bhunia}}
}
@article{journals/tc/WangZBB15,
title = {IIPS: Infrastructure IP for Secure SoC Design.},
year = {2015},
journal = {IEEE Trans. Computers},
author = {{Xinmu Wang} and {Yu Zheng 011} and {Abhishek Basak} and {Swarup Bhunia}}
}
@article{journals/tcad/KarriKSMMSB15,
title = {Guest Editorial Special Section on Hardware Security and Trust.},
year = {2015},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Ramesh Karri} and {Farinaz Koushanfar} and {Ozgur Sinanoglu} and {Yiorgos Makris} and {Ken Mai} and {Ahmad-Reza Sadeghi} and {Swarup Bhunia}}
}
@article{journals/tcas/YuehCZBM15,
title = {A Memory-Based Logic Block With Optimized-for-Read SRAM for Energy-Efficient Reconfigurable Computing Fabric.},
year = {2015},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Wen Yueh} and {Subho Chatterjee} and {Muneeb Zia} and {Swarup Bhunia} and {Saibal Mukhopadhyay}}
}
@article{journals/tmscs/RayPB15,
title = {Guest Editors' Introduction: Wearables, Implants, and Internet of Things.},
year = {2015},
journal = {IEEE Trans. Multi Scale Comput. Syst.},
author = {{Sandip Ray} and {Jongsun Park 001} and {Swarup Bhunia}}
}
@article{journals/tvlsi/PaulKQKB15,
title = {MAHA: An Energy-Efficient Malleable Hardware Accelerator for Data-Intensive Applications.},
year = {2015},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Somnath Paul} and {Aswin Raghav Krishna} and {Wenchao Qian} and {Robert Karam} and {Swarup Bhunia}}
}
@article{journals/tvlsi/ZhengWB15,
title = {SACCI: Scan-Based Characterization Through Clock Phase Sweep for Counterfeit Chip Detection.},
year = {2015},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Yu Zheng 011} and {Xinmu Wang} and {Swarup Bhunia}}
}
@inproceedings{conf/aspdac/HennessyZB16,
title = {JTAG-based robust PCB authentication for protection against counterfeiting attacks.},
year = {2016},
booktitle = {ASP-DAC},
author = {{Andrew Hennessy} and {Yu Zheng 011} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {21st Asia and South Pacific Design Automation Conference, ASP-DAC 2016, Macao, Macao, January 25-28, 2016}
}
@inproceedings{conf/biocas/KaramMBDB16,
title = {Ultralow-power data compression for implantable bladder pressure monitor: Algorithm and hardware implementation.},
year = {2016},
booktitle = {BioCAS},
author = {{Robert Karam} and {Steve J. A. Majerus} and {Dennis Bourbeau} and {Margot S. Damaser} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {IEEE Biomedical Circuits and Systems Conference, BioCAS 2016, Shanghai, China, October 17-19, 2016}
}
@inproceedings{conf/ccs/HuangBM16,
title = {MERS: Statistical Test Generation for Side-Channel Analysis based Trojan Detection.},
year = {2016},
booktitle = {CCS},
author = {{Yuanwen Huang} and {Swarup Bhunia} and {Prabhat Mishra 001}},
publisher = {ACM},
booktitle = {Proceedings of the 2016 ACM SIGSAC Conference on Computer and Communications Security, Vienna, Austria, October 24-28, 2016}
}
@inproceedings{conf/dac/BasakBR16,
title = {Exploiting design-for-debug for flexible SoC security architecture.},
year = {2016},
booktitle = {DAC},
author = {{Abhishek Basak} and {Swarup Bhunia} and {Sandip Ray}},
publisher = {ACM},
booktitle = {Proceedings of the 53rd Annual Design Automation Conference, DAC 2016, Austin, TX, USA, June 5-9, 2016}
}
@inproceedings{conf/date/ZhangYPB16,
title = {Current based PUF exploiting random variations in SRAM cells.},
year = {2016},
booktitle = {DATE},
author = {{Fengchao Zhang} and {Shuo Yang} and {Jim Plusquellic} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {2016 Design, Automation & Test in Europe Conference & Exhibition, DATE 2016, Dresden, Germany, March 14-18, 2016}
}
@inproceedings{conf/embc/KaramBMBDB16,
title = {Real-time, autonomous bladder event classification and closed-loop control from single-channel pressure data.},
year = {2016},
booktitle = {EMBC},
author = {{Robert Karam} and {Swarup Bhunia} and {Steve J. A. Majerus} and {Steven W. Brose} and {Margot S. Damaser} and {Dennis Bourbeau}},
publisher = {IEEE},
booktitle = {38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC 2016, Orlando, FL, USA, August 16-20, 2016}
}
@inproceedings{conf/fpga/QianBKB16,
title = {ENFIRE: An Energy-efficient Fine-grained Spatio-temporal Reconfigurable Computing Fabric (Abstact Only).},
year = {2016},
booktitle = {FPGA},
author = {{Wenchao Qian} and {Christopher Babecki} and {Robert Karam} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Monterey, CA, USA, February 21-23, 2016}
}
@inproceedings{conf/glvlsi/KaramLCYB16,
title = {Security Primitive Design with Nanoscale Devices: A Case Study with Resistive RAM.},
year = {2016},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Robert Karam} and {Rui Liu 005} and {Pai-Yu Chen} and {Shimeng Yu} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {Proceedings of the 26th edition on Great Lakes Symposium on VLSI, GLVLSI 2016, Boston, MA, USA, May 18-20, 2016}
}
@inproceedings{conf/iccad/IsmariPLBS16,
title = {On detecting delay anomalies introduced by hardware trojans.},
year = {2016},
booktitle = {ICCAD},
author = {{Dylan Ismari} and {Jim Plusquellic} and {Charles Lamech} and {Swarup Bhunia} and {Fareena Saqib}},
publisher = {ACM},
booktitle = {Proceedings of the 35th International Conference on Computer-Aided Design, ICCAD 2016, Austin, TX, USA, November 7-10, 2016}
}
@inproceedings{conf/iccd/RayHBB16,
title = {The power play: Security-energy trade-offs in the IoT regime.},
year = {2016},
booktitle = {ICCD},
author = {{Sandip Ray} and {Tamzidul Hoque} and {Abhishek Basak} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {34th IEEE International Conference on Computer Design, ICCD 2016, Scottsdale, AZ, USA, October 2-5, 2016}
}
@inproceedings{conf/isqed/PaleyHB16,
title = {Active protection against PCB physical tampering.},
year = {2016},
booktitle = {ISQED},
author = {{Steven Paley} and {Tamzidul Hoque} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {17th International Symposium on Quality Electronic Design, ISQED 2016, Santa Clara, CA, USA, March 15-16, 2016}
}
@inproceedings{conf/reconfig/KaramHRTB16,
title = {Technical demonstration session: Software toolflow for FPGA bitstream obfuscation.},
year = {2016},
booktitle = {ReConFig},
author = {{Robert Karam} and {Tamzidul Hoque} and {Sandip Ray} and {Mark M. Tehranipoor} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}
}
@inproceedings{conf/reconfig/KaramHRTB16a,
title = {Robust bitstream protection in FPGA-based systems through low-overhead obfuscation.},
year = {2016},
booktitle = {ReConFig},
author = {{Robert Karam} and {Tamzidul Hoque} and {Sandip Ray} and {Mark M. Tehranipoor} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}
}
@inproceedings{conf/vts/RayBJT16,
title = {Security validation in IoT space.},
year = {2016},
booktitle = {VTS},
author = {{Sandip Ray} and {Swarup Bhunia} and {Yier Jin} and {Mark M. Tehranipoor}},
publisher = {IEEE Computer Society},
booktitle = {34th IEEE VLSI Test Symposium, VTS 2016, Las Vegas, NV, USA, April 25-27, 2016}
}
@article{journals/computer/FortePKB16,
title = {Supply-Chain Security for Cyberinfrastructure [Guest editors' introduction].},
year = {2016},
journal = {Computer},
author = {{Domenic Forte} and {Ron Perez} and {Yongdae Kim} and {Swarup Bhunia}}
}
@article{journals/dagstuhl-reports/BatinaBSS16,
title = {Foundations of Secure Scaling (Dagstuhl Seminar 16342).},
year = {2016},
journal = {Dagstuhl Reports},
author = {{Lejla Batina} and {Swarup Bhunia} and {Patrick Schaumont} and {Jean-Pierre Seifert}}
}
@article{journals/tbe/KaramBMMGDB16,
title = {Real-Time Classification of Bladder Events for Effective Diagnosis and Treatment of Urinary Incontinence.},
year = {2016},
journal = {IEEE Trans. Biomed. Eng.},
author = {{Robert Karam} and {Dennis Bourbeau} and {Steve J. A. Majerus} and {Iryna Makovey} and {Howard B. Goldman} and {Margot S. Damaser} and {Swarup Bhunia}}
}
@article{journals/tc/BabeckiQPKB16,
title = {An Embedded Memory-Centric Reconfigurable Hardware Accelerator for Security Applications.},
year = {2016},
journal = {IEEE Trans. Computers},
author = {{Christopher Babecki} and {Wenchao Qian} and {Somnath Paul} and {Robert Karam} and {Swarup Bhunia}}
}
@article{journals/tcad/BasakB16,
title = {P-Val: Antifuse-Based Package-Level Defense Against Counterfeit ICs.},
year = {2016},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Abhishek Basak} and {Swarup Bhunia}}
}
@article{journals/tcad/ZhengYB16,
title = {SeMIA: Self-Similarity-Based IC Integrity Analysis.},
year = {2016},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Yu Zheng 011} and {Shuo Yang} and {Swarup Bhunia}}
}
@article{journals/tcbb/ChenZBABM16,
title = {Authentication of Medicines Using Nuclear Quadrupole Resonance Spectroscopy.},
year = {2016},
journal = {IEEE ACM Trans. Comput. Biol. Bioinform.},
author = {{Cheng Chen} and {Fengchao Zhang} and {Jamie Barras} and {Kaspar Althoefer} and {Swarup Bhunia} and {Soumyajit Mandal}}
}
@article{journals/tmscs/Mal-SarkarKNGKB16,
title = {Design and Validation for FPGA Trust under Hardware Trojan Attacks.},
year = {2016},
journal = {IEEE Trans. Multi Scale Comput. Syst.},
author = {{Sanchita Mal-Sarkar} and {Robert Karam} and {Seetharam Narasimhan} and {Anandaroop Ghosh} and {Aswin Raghav Krishna} and {Swarup Bhunia}}
}
@article{journals/tmscs/RayPB16,
title = {Wearables, Implants, and Internet of Things: The Technology Needs in the Evolving Landscape.},
year = {2016},
journal = {IEEE Trans. Multi Scale Comput. Syst.},
author = {{Sandip Ray} and {Jongsun Park 001} and {Swarup Bhunia}}
}
@article{journals/todaes/XiaoFJKBT16,
title = {Hardware Trojans: Lessons Learned after One Decade of Research.},
year = {2016},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Kan Xiao} and {Domenic Forte} and {Yier Jin} and {Ramesh Karri} and {Swarup Bhunia} and {Mark M. Tehranipoor}}
}
@article{journals/tvlsi/KaramPB16,
title = {Energy-Efficient Adaptive Hardware Accelerator for Text Mining Application Kernels.},
year = {2016},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Robert Karam} and {Ruchir Puri} and {Swarup Bhunia}}
}
@article{journals/tvlsi/ZhengZB16,
title = {DScanPUF: A Delay-Based Physical Unclonable Function Built Into Scan Chain.},
year = {2016},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Yu Zheng 011} and {Fengchao Zhang} and {Swarup Bhunia}}
}
@inproceedings{conf/asianhost/KaramHBB17,
title = {Mixed-granular architectural diversity for device security in the Internet of Things.},
year = {2017},
booktitle = {AsianHOST},
author = {{Robert Karam} and {Tamzidul Hoque} and {Kevin R. B. Butler} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {2017 Asian Hardware Oriented Security and Trust Symposium, AsianHOST 2017, Beijing, China, October 19-20, 2017}
}
@inproceedings{conf/aspdac/ContrerasNBFT17,
title = {Security vulnerability analysis of design-for-test exploits for asset protection in SoCs.},
year = {2017},
booktitle = {ASP-DAC},
author = {{Gustavo K. Contreras} and {Adib Nahiyan} and {Swarup Bhunia} and {Domenic Forte} and {Mark M. Tehranipoor}},
publisher = {IEEE},
booktitle = {22nd Asia and South Pacific Design Automation Conference, ASP-DAC 2017, Chiba, Japan, January 16-19, 2017}
}
@inproceedings{conf/aspdac/KaramHRTB17,
title = {MUTARCH: Architectural diversity for FPGA device and IP security.},
year = {2017},
booktitle = {ASP-DAC},
author = {{Robert Karam} and {Tamzidul Hoque} and {Sandip Ray} and {Mark M. Tehranipoor} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {22nd Asia and South Pacific Design Automation Conference, ASP-DAC 2017, Chiba, Japan, January 16-19, 2017}
}
@inproceedings{conf/biocas/ZhangMBCM17,
title = {Authentication and traceability of food products through the supply chain using NQR spectroscopy.},
year = {2017},
booktitle = {BioCAS},
author = {{Fengchao Zhang} and {Naren Vikram Raj Masna} and {Swarup Bhunia} and {Cheng Chen} and {Soumyajit Mandal}},
publisher = {IEEE},
booktitle = {IEEE Biomedical Circuits and Systems Conference, BioCAS 2017, Torino, Italy, October 19-21, 2017}
}
@inproceedings{conf/glvlsi/AmirSFTB17,
title = {Comparative Analysis of Hardware Obfuscation for IP Protection.},
year = {2017},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Sarah Amir} and {Bicky Shakya} and {Domenic Forte} and {Mark M. Tehranipoor} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {Proceedings of the on Great Lakes Symposium on VLSI 2017, Banff, AB, Canada, May 10-12, 2017}
}
@inproceedings{conf/mwscas/DharBT17,
title = {A solitary protection measure against scan chain, fault injection, and power analysis attacks on AES.},
year = {2017},
booktitle = {MWSCAS},
author = {{Tonmoy Dhar} and {Swarup Bhunia} and {Amit Ranjan Trivedi}},
publisher = {IEEE},
booktitle = {IEEE 60th International Midwest Symposium on Circuits and Systems, MWSCAS 2017, Boston, MA, USA, August 6-9, 2017}
}
@inproceedings{conf/mwscas/ParkCSVTB17,
title = {ATAVE: A framework for automatic timing attack vulnerability evaluation.},
year = {2017},
booktitle = {MWSCAS},
author = {{Jungmin Park} and {Massimiliano Corba} and {Antonio E. de la Sema} and {Richard L. Vigeant} and {Mark M. Tehranipoor} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {IEEE 60th International Midwest Symposium on Circuits and Systems, MWSCAS 2017, Boston, MA, USA, August 6-9, 2017}
}
@inproceedings{conf/mwscas/YangKB17,
title = {Interleaved logic-in-memory architecture for energy-efficient fine-grained data processing.},
year = {2017},
booktitle = {MWSCAS},
author = {{Kai Yang 028} and {Robert Karam} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {IEEE 60th International Midwest Symposium on Circuits and Systems, MWSCAS 2017, Boston, MA, USA, August 6-9, 2017}
}
@article{journals/dt/ShinPPPB17,
title = {Adaptive ECC for Tailored Protection of Nanoscale Memory.},
year = {2017},
journal = {IEEE Des. Test},
author = {{Dongyeob Shin} and {Jongsun Park 001} and {Jangwon Park} and {Somnath Paul} and {Swarup Bhunia}}
}
@article{journals/esl/StittKYB17,
title = {A Uniquified Virtualization Approach to Hardware Security.},
year = {2017},
journal = {IEEE Embed. Syst. Lett.},
author = {{Greg Stitt} and {Robert Karam} and {Kai Yang 028} and {Swarup Bhunia}}
}
@article{journals/et/HoqueNWMB17,
title = {Golden-Free Hardware Trojan Detection with High Sensitivity Under Process Noise.},
year = {2017},
journal = {J. Electron. Test.},
author = {{Tamzidul Hoque} and {Seetharam Narasimhan} and {Xinmu Wang} and {Sanchita Mal-Sarkar} and {Swarup Bhunia}}
}
@article{journals/jetc/KaramPPB17,
title = {Memory-Centric Reconfigurable Accelerator for Classification and Machine Learning Applications.},
year = {2017},
journal = {ACM J. Emerg. Technol. Comput. Syst.},
author = {{Robert Karam} and {Somnath Paul} and {Ruchir Puri} and {Swarup Bhunia}}
}
@article{journals/jhss/BhuniaT17,
title = {Editorial for the Introductory Issue of the Journal of Hardware and Systems Security (HaSS).},
year = {2017},
journal = {J. Hardw. Syst. Secur.},
author = {{Swarup Bhunia} and {Mark M. Tehranipoor}}
}
@article{journals/jhss/LopezVNYBF17,
title = {A Security Perspective on Battery Systems of the Internet of Things.},
year = {2017},
journal = {J. Hardw. Syst. Secur.},
author = {{Anthony Bahadir Lopez} and {Korosh Vatanparvar} and {Atul Prasad Deb Nath} and {Shuo Yang} and {Swarup Bhunia} and {Mohammad Abdullah Al Faruque}}
}
@article{journals/jhss/ShakyaHSFBT17,
title = {Benchmarking of Hardware Trojans and Maliciously Affected Circuits.},
year = {2017},
journal = {J. Hardw. Syst. Secur.},
author = {{Bicky Shakya} and {Miao Tony He} and {Hassan Salmani} and {Domenic Forte} and {Swarup Bhunia} and {Mark M. Tehranipoor}}
}
@article{journals/jolpe/YangKB17,
title = {Energy-Efficient Reconfigurable Hardware Accelerators for Data-Intensive Applications.},
year = {2017},
journal = {J. Low Power Electron.},
author = {{Kai Yang 028} and {Robert Karam} and {Swarup Bhunia}}
}
@article{journals/tbcas/KaramMBDB17,
title = {Tunable and Lightweight On-Chip Event Detection for Implantable Bladder Pressure Monitoring Devices.},
year = {2017},
journal = {IEEE Trans. Biomed. Circuits Syst.},
author = {{Robert Karam} and {Steve J. A. Majerus} and {Dennis Bourbeau} and {Margot S. Damaser} and {Swarup Bhunia}}
}
@article{journals/tcas/QianCKGBY17,
title = {Energy-Efficient Adaptive Computing With Multifunctional Memory.},
year = {2017},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Wenchao Qian} and {Pai-Yu Chen} and {Robert Karam} and {Ligang Gao} and {Swarup Bhunia} and {Shimeng Yu}}
}
@article{journals/tdsc/GuinBFT17,
title = {SMA: A System-Level Mutual Authentication for Protecting Electronic Hardware and Firmware.},
year = {2017},
journal = {IEEE Trans. Dependable Secur. Comput.},
author = {{Ujjwal Guin} and {Swarup Bhunia} and {Domenic Forte} and {Mark M. Tehranipoor}}
}
@article{journals/tetc/BhuniaCSF17,
title = {Guest Editors Introduction: Security of Beyond CMOS Devices: Issues and Opportunities.},
year = {2017},
journal = {IEEE Trans. Emerg. Top. Comput.},
author = {{Swarup Bhunia} and {An Chen} and {Ozgur Sinanoglu} and {Jason M. Fung}}
}
@article{journals/tifs/BasakBTR17,
title = {Security Assurance for System-on-Chip Designs With Untrusted IPs.},
year = {2017},
journal = {IEEE Trans. Inf. Forensics Secur.},
author = {{Abhishek Basak} and {Swarup Bhunia} and {Thomas E. Tkacik} and {Sandip Ray}}
}
@article{journals/tvlsi/QianBKPB17,
title = {ENFIRE: A Spatio-Temporal Fine-Grained Reconfigurable Hardware.},
year = {2017},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Wenchao Qian} and {Christopher Babecki} and {Robert Karam} and {Somnath Paul} and {Swarup Bhunia}}
}
@inproceedings{conf/asianhost/ChakrabortyCB18,
title = {SAIL: Machine Learning Guided Structural Analysis Attack on Hardware Obfuscation.},
year = {2018},
booktitle = {AsianHOST},
author = {{Prabuddha Chakraborty} and {Jonathan Cruz 001} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {Asian Hardware Oriented Security and Trust Symposium, AsianHOST 2018, Hong Kong, China, December 17-18, 2018}
}
@inproceedings{conf/date/CruzHMB18,
title = {An automated configurable Trojan insertion framework for dynamic trust benchmarks.},
year = {2018},
booktitle = {DATE},
author = {{Jonathan Cruz 001} and {Yuanwen Huang} and {Prabhat Mishra 001} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {2018 Design, Automation & Test in Europe Conference & Exhibition, DATE 2018, Dresden, Germany, March 19-23, 2018}
}
@inproceedings{conf/glvlsi/SavidisBQCM18,
title = {Securing the Systems of the Future - Techniques for a Shifting Attack Space.},
year = {2018},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Ioannis Savidis} and {Swarup Bhunia} and {Gang Qu 001} and {Matthew J. Casto} and {Jeremy Muldavin}},
publisher = {ACM},
booktitle = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, GLSVLSI 2018, Chicago, IL, USA, May 23-25, 2018}
}
@inproceedings{conf/host/YangPTB18,
title = {Hardware virtualization for protection against power analysis attack.},
year = {2018},
booktitle = {HOST},
author = {{Kai Yang 028} and {Jungmin Park} and {Mark M. Tehranipoor} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {2018 IEEE International Symposium on Hardware Oriented Security and Trust, HOST 2018, Washington, DC, USA, April 30 - May 4, 2018}
}
@inproceedings{conf/iccel/Bhunia18,
title = {Internet of Things security: Are we paranoid enough?},
year = {2018},
booktitle = {ICCE},
author = {{Swarup Bhunia}},
publisher = {IEEE},
booktitle = {IEEE International Conference on Consumer Electronics, ICCE 2018, Las Vegas, NV, USA, January 12-14, 2018}
}
@inproceedings{conf/iccel/TorvaldsJDMBBN18,
title = {Keynotes.},
year = {2018},
booktitle = {ICCE},
author = {{Linus Torvalds} and {Niraj K. Jha} and {Gary Davis} and {Nasir D. Memon} and {Petronel Bigioi} and {Swarup Bhunia} and {Koji Nakao}},
publisher = {IEEE},
booktitle = {IEEE International Conference on Consumer Electronics, ICCE 2018, Las Vegas, NV, USA, January 12-14, 2018}
}
@inproceedings{conf/islped/ShylendraBT18,
title = {Intrinsic and Database-free Watermarking in ICs by Exploiting Process and Design Dependent Variability in Metal-Oxide-Metal Capacitances.},
year = {2018},
booktitle = {ISLPED},
author = {{Ahish Shylendra} and {Swarup Bhunia} and {Amit Ranjan Trivedi}},
publisher = {ACM},
booktitle = {Proceedings of the International Symposium on Low Power Electronics and Design, ISLPED 2018, Seattle, WA, USA, July 23-25, 2018}
}
@inproceedings{conf/isvlsi/NathBR18,
title = {ArtiFact: Architecture and CAD Flow for Efficient Formal Verification of SoC Security Policies.},
year = {2018},
booktitle = {ISVLSI},
author = {{Atul Prasad Deb Nath} and {Swarup Bhunia} and {Sandip Ray}},
publisher = {IEEE Computer Society},
booktitle = {2018 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2018, Hong Kong, China, July 8-11, 2018}
}
@inproceedings{conf/isvlsi/YangPTB18,
title = {Robust Timing Attack Countermeasure on Virtual Hardware.},
year = {2018},
booktitle = {ISVLSI},
author = {{Kai Yang 028} and {Jungmin Park} and {Mark M. Tehranipoor} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {2018 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2018, Hong Kong, China, July 8-11, 2018}
}
@inproceedings{conf/itc/HoqueCCB18,
title = {Hardware IP Trust Validation: Learn (the Untrustworthy), and Verify.},
year = {2018},
booktitle = {ITC},
author = {{Tamzidul Hoque} and {Jonathan Cruz 001} and {Prabuddha Chakraborty} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {IEEE International Test Conference, ITC 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}
}
@inproceedings{conf/mwscas/RomanDPBBM18,
title = {An Open-Source Test-Bench for Autonomous Ultrasound Imaging.},
year = {2018},
booktitle = {MWSCAS},
author = {{Alex Roman} and {Parisa Dehghanzadeh} and {Vida Pashaei} and {Abhishek Basak} and {Swarup Bhunia} and {Soumyajit Mandal}},
publisher = {IEEE},
booktitle = {IEEE 61st International Midwest Symposium on Circuits and Systems, MWSCAS 2018, Windsor, ON, Canada, August 5-8, 2018}
}
@inproceedings{conf/nems/NewsomeRGZBT18,
title = {Multi-Mode Micromechanical Resonant Tags for Traceability and Authentication Applications.},
year = {2018},
booktitle = {NEMS},
author = {{Angela R. Newsome} and {Mehrdad Ramezani} and {Mayur Ghatge} and {Fengchao Zhang} and {Swarup Bhunia} and {Roozbeh Tabrizian}},
publisher = {IEEE},
booktitle = {13th IEEE Annual International Conference on Nano/Micro Engineered and Molecular Systems, NEMS 2018, Singapore, Singapore, April 22-26, 2018}
}
@inproceedings{conf/vts/HoqueWBKB18,
title = {Hardware Trojan attacks in embedded memory.},
year = {2018},
booktitle = {VTS},
author = {{Tamzidul Hoque} and {Xinmu Wang} and {Abhishek Basak} and {Robert Karam} and {Swarup Bhunia}},
publisher = {IEEE Computer Society},
booktitle = {36th IEEE VLSI Test Symposium, VTS 2018, San Francisco, CA, USA, April 22-25, 2018}
}
@article{journals/jhss/AmirSXJBTF18,
title = {Development and Evaluation of Hardware Obfuscation Benchmarks.},
year = {2018},
journal = {J. Hardw. Syst. Secur.},
author = {{Sarah Amir} and {Bicky Shakya} and {Xiaolin Xu} and {Yier Jin} and {Swarup Bhunia} and {Mark M. Tehranipoor} and {Domenic Forte}}
}
@article{journals/pieee/RayPTB18,
title = {System-on-Chip Platform Security Assurance: Architecture and Validation.},
year = {2018},
journal = {Proc. IEEE},
author = {{Sandip Ray} and {Eric Peeters} and {Mark M. Tehranipoor} and {Swarup Bhunia}}
}
@article{journals/tifs/HuangBM18,
title = {Scalable Test Generation for Trojan Detection Using Side Channel Analysis.},
year = {2018},
journal = {IEEE Trans. Inf. Forensics Secur.},
author = {{Yuanwen Huang} and {Swarup Bhunia} and {Prabhat Mishra 001}}
}
@article{journals/todaes/YangSFBT18,
title = {Hardware-Enabled Pharmaceutical Supply Chain Security.},
year = {2018},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Kun Yang} and {Hao-Ting Shen} and {Domenic Forte} and {Swarup Bhunia} and {Mark M. Tehranipoor}}
}
@incollection{books/sp/19/NathHRB19,
title = {An Adaptable System-on-Chip Security Architecture for Internet of Things Applications.},
year = {2019},
booktitle = {Security and Fault Tolerance in Internet of Things},
author = {{Atul Prasad Deb Nath} and {Tamzidul Hoque} and {Sandip Ray} and {Swarup Bhunia}},
publisher = {Springer},
booktitle = {Security and Fault Tolerance in Internet of Things - Technology, Communications and Computing}
}
@inproceedings{conf/asianhost/AlaqlFB19,
title = {Sweep to the Secret: A Constant Propagation Attack on Logic Locking.},
year = {2019},
booktitle = {AsianHOST},
author = {{Abdulrahman Alaql} and {Domenic Forte} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {Asian Hardware Oriented Security and Trust Symposium, AsianHOST 2019, Xi'an, China, December 16-17, 2019}
}
@inproceedings{conf/cicc/Bhunia19,
title = {New Frontiers in Hardware Security in the IoT Regime.},
year = {2019},
booktitle = {CICC},
author = {{Swarup Bhunia}},
publisher = {IEEE},
booktitle = {IEEE Custom Integrated Circuits Conference, CICC 2019, Austin, TX, USA, April 14-17, 2019}
}
@inproceedings{conf/dac/CruzMB19,
title = {The Metric Matters: The Art of Measuring Trust in Electronics.},
year = {2019},
booktitle = {DAC},
author = {{Jonathan Cruz 001} and {Prabhat Mishra 001} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, DAC 2019, Las Vegas, NV, USA, June 02-06, 2019}
}
@inproceedings{conf/glvlsi/Bhunia19,
title = {Innovations in IoT for a Safe, Secure, and Sustainable Future.},
year = {2019},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Swarup Bhunia}},
publisher = {ACM},
booktitle = {Proceedings of the 2019 on Great Lakes Symposium on VLSI, GLSVLSI 2019, Tysons Corner, VA, USA, May 9-11, 2019}
}
@inproceedings{conf/host/ChakrabortyCB19,
title = {SURF: Joint Structural Functional Attack on Logic Locking.},
year = {2019},
booktitle = {HOST},
author = {{Prabuddha Chakraborty} and {Jonathan Cruz 001} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Hardware Oriented Security and Trust, HOST 2019, McLean, VA, USA, May 5-10, 2019}
}
@inproceedings{conf/iccad/ParkCLBT19,
title = {SCR-QRNG: Side-Channel Resistant Design using Quantum Random Number Generator.},
year = {2019},
booktitle = {ICCAD},
author = {{Jungmin Park} and {Seongjoon Cho} and {Taejin Lim} and {Swarup Bhunia} and {Mark M. Tehranipoor}},
publisher = {ACM},
booktitle = {Proceedings of the International Conference on Computer-Aided Design, ICCAD 2019, Westminster, CO, USA, November 4-7, 2019}
}
@inproceedings{conf/iccel/YangAHB19,
title = {Runtime Integrity Verification in Cyber-physical Systems using Side-Channel Fingerprint.},
year = {2019},
booktitle = {ICCE},
author = {{Shuo Yang} and {Abdulrahman Alaql} and {Tamzidul Hoque} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {IEEE International Conference on Consumer Electronics, ICCE 2019, Las Vegas, NV, USA, January 11-13, 2019}
}
@inproceedings{conf/itc/ForteBKPT19,
title = {IEEE International Symposium on Hardware Oriented Security and Trust (HOST): Past, Present, and Future.},
year = {2019},
booktitle = {ITC},
author = {{Domenic Forte} and {Swarup Bhunia} and {Ramesh Karri} and {Jim Plusquellic} and {Mark M. Tehranipoor}},
publisher = {IEEE},
booktitle = {IEEE International Test Conference, ITC 2019, Washington, DC, USA, November 9-15, 2019}
}
@inproceedings{conf/vts/AlaqlHFB19,
title = {Quality Obfuscation for Error-Tolerant and Adaptive Hardware IP Protection.},
year = {2019},
booktitle = {VTS},
author = {{Abdulrahman Alaql} and {Tamzidul Hoque} and {Domenic Forte} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {37th IEEE VLSI Test Symposium, VTS 2019, Monterey, CA, USA, April 23-25, 2019}
}
@inproceedings{conf/vts/SalmaniHBYRK19,
title = {Special Session: Countering IP Security threats in Supply chain.},
year = {2019},
booktitle = {VTS},
author = {{Hassan Salmani} and {Tamzidul Hoque} and {Swarup Bhunia} and {Muhammad Yasin} and {Jeyavijayan (JV) Rajendran} and {Naghmeh Karimi}},
publisher = {IEEE},
booktitle = {37th IEEE VLSI Test Symposium, VTS 2019, Monterey, CA, USA, April 23-25, 2019}
}
@article{journals/access/MasnaCMB19,
title = {Robust Authentication of Consumables With Extrinsic Tags and Chemical Fingerprinting.},
year = {2019},
journal = {IEEE Access},
author = {{Naren Vikram Raj Masna} and {Cheng Chen} and {Soumyajit Mandal} and {Swarup Bhunia}}
}
@article{journals/cem/MasnaPCMB19,
title = {Eat, but Verify: Low-Cost Portable Devices for Food Safety Analysis.},
year = {2019},
journal = {IEEE Consumer Electron. Mag.},
author = {{Naren Vikram Raj Masna} and {Shubhra Deb Paul} and {Cheng Chen} and {Soumyajit Mandal} and {Swarup Bhunia}}
}
@article{journals/cem/ShomajiDRFBM19,
title = {Early Detection of Cardiovascular Diseases Using Wearable Ultrasound Device.},
year = {2019},
journal = {IEEE Consumer Electron. Mag.},
author = {{Sumaiya Shomaji} and {Parisa Dehghanzadeh} and {Alex Roman} and {Domenic Forte} and {Swarup Bhunia} and {Soumyajit Mandal}}
}
@article{journals/tvlsi/MishraMB19,
title = {Guest Editorial: Special Section on Autonomous Intelligence for Security and Privacy Analytics.},
year = {2019},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Prabhat Mishra 001} and {Debdeep Mukhopadhyay} and {Swarup Bhunia}}
}
@article{journals/tvlsi/ShylendraBT19,
title = {An Intrinsic and Database-Free Authentication by Exploiting Process Variation in Back-End Capacitors.},
year = {2019},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Ahish Shylendra} and {Swarup Bhunia} and {Amit Ranjan Trivedi}}
}
@inproceedings{conf/date/SrivastavaSRRHB20,
title = {SOLOMON: An Automated Framework for Detecting Fault Attack Vulnerabilities in Hardware.},
year = {2020},
booktitle = {DATE},
author = {{Milind Srivastava} and {Patanjali SLPSK} and {Indrani Roy} and {Chester Rebeiro} and {Aritra Hazra} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {2020 Design, Automation & Test in Europe Conference & Exhibition, DATE 2020, Grenoble, France, March 9-13, 2020}
}
@inproceedings{conf/glvlsi/HoqueSB20,
title = {Trust Issues in COTS: The Challenges and Emerging Solution.},
year = {2020},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Tamzidul Hoque} and {Patanjali SLPSK} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {GLSVLSI '20: Great Lakes Symposium on VLSI 2020, Virtual Event, China, September 7-9, 2020}
}
@inproceedings{conf/isqed/ShylendraSBT20,
title = {Fault Attack Detection in AES by Monitoring Power Side-Channel Statistics.},
year = {2020},
booktitle = {ISQED},
author = {{Ahish Shylendra} and {Priyesh Shukla} and {Swarup Bhunia} and {Amit Ranjan Trivedi}},
publisher = {IEEE},
booktitle = {21st International Symposium on Quality Electronic Design, ISQED 2020, Santa Clara, CA, USA, March 25-26, 2020}
}
@inproceedings{conf/vtc/ChakrabortyPHCB20,
title = {P2C2: Peer-to-Peer Car Charging.},
year = {2020},
booktitle = {VTC Spring},
author = {{Prabuddha Chakraborty} and {Robert Parker} and {Tamzidul Hoque} and {Jonathan Cruz 001} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {91st IEEE Vehicular Technology Conference, VTC Spring 2020, Antwerp, Belgium, May 25-28, 2020}
}
@article{journals/cem/HoqueSB20,
title = {Trust Issues in Microelectronics: The Concerns and the Countermeasures.},
year = {2020},
journal = {IEEE Consumer Electron. Mag.},
author = {{Tamzidul Hoque} and {Patanjali SLPSK} and {Swarup Bhunia}}
}
@article{journals/corr/abs-2003-10088,
title = {Low Power Unsupervised Anomaly Detection by Non-Parametric Modeling of Sensor Statistics.},
year = {2020},
journal = {CoRR},
author = {{Ahish Shylendra} and {Priyesh Shukla} and {Saibal Mukhopadhyay} and {Swarup Bhunia} and {Amit Ranjan Trivedi}}
}
@article{journals/corr/abs-2003-12632,
title = {An Automated Framework for Board-level Trojan Benchmarking.},
year = {2020},
journal = {CoRR},
author = {{Tamzidul Hoque} and {Shuo Yang} and {Aritra Bhattacharyay} and {Jonathan Cruz 001} and {Swarup Bhunia}}
}
@article{journals/corr/abs-2006-06806,
title = {Benchmarking at the Frontier of Hardware Security: Lessons from Logic Locking.},
year = {2020},
journal = {CoRR},
author = {{Benjamin Tan 001} and {Ramesh Karri} and {Nimisha Limaye} and {Abhrajit Sengupta} and {Ozgur Sinanoglu} and {Md. Moshiur Rahman 001} and {Swarup Bhunia} and {Danielle Duvalsaint} and {Ronald D. Blanton} and {Amin Rezaei 001} and {Yuanqi Shen} and {Hai Zhou 001} and {Leon Li} and {Alex Orailoglu} and {Zhaokun Han} and {Austin Benedetti} and {Luciano Brignone} and {Muhammad Yasin} and {Jeyavijayan Rajendran} and {Michael Zuzak} and {Ankur Srivastava 001} and {Ujjwal Guin} and {Chandan Karfa} and {Kanad Basu} and {Vivek V. Menon} and {Matthew French} and {Peilin Song} and {Franco Stellari} and {Gi-Joon Nam} and {Peter Gadfort} and {Alric Althoff} and {Joseph Tostenrude} and {Saverio Fazzari} and {Eric Breckenfeld} and {Kenneth Plaks}}
}
@article{journals/corr/abs-2009-06742,
title = {Leveraging Domain Knowledge using Machine Learning for Image Compression in Internet-of-Things.},
year = {2020},
journal = {CoRR},
author = {{Prabuddha Chakraborty} and {Jonathan Cruz 001} and {Swarup Bhunia}}
}
@article{journals/corr/abs-2010-05209,
title = {SIGNED: A Challenge-Response Based Interrogation Scheme for Simultaneous Watermarking and Trojan Detection.},
year = {2020},
journal = {CoRR},
author = {{Abhishek Nair} and {Patanjali SLPSK} and {Chester Rebeiro} and {Swarup Bhunia}}
}
@article{journals/corr/abs-2010-15329,
title = {Scalable Attack-Resistant Obfuscation of Logic Circuits.},
year = {2020},
journal = {CoRR},
author = {{Abdulrahman Alaql} and {Swarup Bhunia}}
}
@article{journals/corr/abs-2012-03162,
title = {MeLPUF: Memory in Logic PUF.},
year = {2020},
journal = {CoRR},
author = {{Christopher Vega} and {Patanjali SLPSK} and {Shubhra Deb Paul} and {Swarup Bhunia}}
}
@article{journals/dt/HoqueCB20,
title = {Hardware Obfuscation and Logic Locking: A Tutorial Introduction.},
year = {2020},
journal = {IEEE Des. Test},
author = {{Tamzidul Hoque} and {Rajat Subhra Chakraborty} and {Swarup Bhunia}}
}
@article{journals/jetc/LiZBW20,
title = {Introduction to the Special Issue on New Trends in Nanoelectronic Device, Circuit, and Architecture Design, Part 1.},
year = {2020},
journal = {ACM J. Emerg. Technol. Comput. Syst.},
author = {{Hai Helen Li} and {Wei Zhang 012} and {Swarup Bhunia} and {Wujie Wen}}
}
@article{journals/jetc/ZhangLWB20,
title = {Guest Editorial: ACM JETC Special Issue on New Trends in Nanolectronic Device, Circuit, and Architecture Design: Part 2.},
year = {2020},
journal = {ACM J. Emerg. Technol. Comput. Syst.},
author = {{Wei Zhang 012} and {Hai Helen Li} and {Wujie Wen} and {Swarup Bhunia}}
}
@article{journals/tcad/RoyRHB20,
title = {SAFARI: Automatic Synthesis of Fault-Attack Resistant Block Cipher Implementations.},
year = {2020},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Indrani Roy} and {Chester Rebeiro} and {Aritra Hazra} and {Swarup Bhunia}}
}
@article{journals/tches/KRRHB20,
title = {FEDS: Comprehensive Fault Attack Exploitability Detection for Software Implementations of Block Ciphers.},
year = {2020},
journal = {IACR Trans. Cryptogr. Hardw. Embed. Syst.},
author = {{Keerthi K. 002} and {Indrani Roy} and {Chester Rebeiro} and {Aritra Hazra} and {Swarup Bhunia}}
}
@article{journals/tifs/NathBBR20,
title = {Resilient System-on-Chip Designs With NoC Fabrics.},
year = {2020},
journal = {IEEE Trans. Inf. Forensics Secur.},
author = {{Atul Prasad Deb Nath} and {Srivalli Boddupalli} and {Swarup Bhunia} and {Sandip Ray}}
}
@article{journals/todaes/HoqueYKTFTB20,
title = {Hidden in Plaintext: An Obfuscation-based Countermeasure against FPGA Bitstream Tampering Attacks.},
year = {2020},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Tamzidul Hoque} and {Kai Yang 028} and {Robert Karam} and {Shahin Tajik} and {Domenic Forte} and {Mark M. Tehranipoor} and {Swarup Bhunia}}
}
@article{journals/tvlsi/ShylendraSMBT20,
title = {Low Power Unsupervised Anomaly Detection by Nonparametric Modeling of Sensor Statistics.},
year = {2020},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Ahish Shylendra} and {Priyesh Shukla} and {Saibal Mukhopadhyay} and {Swarup Bhunia} and {Amit Ranjan Trivedi}}
}
@inproceedings{conf/asianhost/RajHNBR21,
title = {SSEL: An Extensible Specification Language for SoC Security.},
year = {2021},
booktitle = {AsianHOST},
author = {{Kshitij Raj} and {Arrush Hegde} and {Atul Prasad Deb Nath} and {Swarup Bhunia} and {Sandip Ray}},
publisher = {IEEE},
booktitle = {Asian Hardware Oriented Security and Trust Symposium, AsianHOST 2021, Shanghai, China, December 16-18, 2021}
}
@inproceedings{conf/date/RayNRB21,
title = {CASTLE: Architecting Assured System-on-Chip Firmware Integrity.},
year = {2021},
booktitle = {DATE},
author = {{Sandip Ray} and {Atul Prasad Deb Nath} and {Kshitij Raj} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2021, Grenoble, France, February 1-5, 2021}
}
@inproceedings{conf/glvlsi/RayNRB21,
title = {The Curious Case of Trusted IC Provisioning in Untrusted Testing Facilities.},
year = {2021},
booktitle = {ACM Great Lakes Symposium on VLSI},
author = {{Sandip Ray} and {Atul Prasad Deb Nath} and {Kshitij Raj} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {GLSVLSI '21: Great Lakes Symposium on VLSI 2021, Virtual Event, USA, June 22-25, 2021.}
}
@inproceedings{conf/isqed/AlaqlWRB21,
title = {SOMA: Security Evaluation of Obfuscation Methods via Attack Sequencing.},
year = {2021},
booktitle = {ISQED},
author = {{Abdulrahman Alaql} and {Xinmu Wang} and {Md. Moshiur Rahman 001} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {22nd International Symposium on Quality Electronic Design, ISQED 2021, Santa Clara, CA, USA, April 7-9, 2021}
}
@inproceedings{conf/isqed/YangCSB21,
title = {Trusted Electronic Systems with Untrusted COTS.},
year = {2021},
booktitle = {ISQED},
author = {{Shuo Yang} and {Prabuddha Chakraborty} and {Patanjali SLPSK} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {22nd International Symposium on Quality Electronic Design, ISQED 2021, Santa Clara, CA, USA, April 7-9, 2021}
}
@inproceedings{conf/mwscas/MasnaKDB21,
title = {Smart and Connected Mask for Protection beyond the Pandemic : (Invited Paper).},
year = {2021},
booktitle = {MWSCAS},
author = {{Naren Vikram Raj Masna} and {Rohan Reddy Kalavakonda} and {Reiner Dizon} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {64th IEEE International Midwest Symposium on Circuits and Systems, MWSCAS 2021, Lansing, MI, USA, August 9-11, 2021}
}
@article{journals/cem/KalavakondaMBMB21,
title = {A Smart Mask for Active Defense Against Coronaviruses and Other Airborne Pathogens.},
year = {2021},
journal = {IEEE Consumer Electron. Mag.},
author = {{Rohan Reddy Kalavakonda} and {Naren Vikram Raj Masna} and {Anamika Bhuniaroy} and {Soumyajit Mandal} and {Swarup Bhunia}}
}
@article{journals/corr/abs-2101-02729,
title = {Neural Storage: A New Paradigm of Elastic Memory.},
year = {2021},
journal = {CoRR},
author = {{Prabuddha Chakraborty} and {Swarup Bhunia}}
}
@article{journals/corr/abs-2111-14956,
title = {Third-Party Hardware IP Assurance against Trojans through Supervised Learning and Post-processing.},
year = {2021},
journal = {CoRR},
author = {{Pravin Gaikwad} and {Jonathan Cruz 001} and {Prabuddha Chakraborty} and {Swarup Bhunia} and {Tamzidul Hoque}}
}
@article{journals/corr/abs-2112-00304,
title = {Software Variants for Hardware Trojan Detection and Resilience in COTS Processors.},
year = {2021},
journal = {CoRR},
author = {{Mahmudul Hasan 012} and {Jonathan Cruz 001} and {Prabuddha Chakraborty} and {Swarup Bhunia} and {Tamzidul Hoque}}
}
@article{journals/iotj/ChakrabortyCB21,
title = {MAGIC: Machine-Learning-Guided Image Compression for Vision Applications in Internet of Things.},
year = {2021},
journal = {IEEE Internet Things J.},
author = {{Prabuddha Chakraborty} and {Jonathan Cruz 001} and {Swarup Bhunia}}
}
@article{journals/jetc/PaulB21,
title = {SILVerIn: Systematic Integrity Verification of Printed Circuit Board Using JTAG Infrastructure.},
year = {2021},
journal = {ACM J. Emerg. Technol. Comput. Syst.},
author = {{Shubhra Deb Paul} and {Swarup Bhunia}}
}
@article{journals/tcad/HuCSBKL21,
title = {An Overview of Hardware Security and Trust: Threats, Countermeasures, and Design Tools.},
year = {2021},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Wei Hu 008} and {Chip-Hong Chang} and {Anirban Sengupta} and {Swarup Bhunia} and {Ryan Kastner} and {Hai Li 001}}
}
@article{journals/tifs/AlaqlB21,
title = {SARO: Scalable Attack-Resistant Logic Locking.},
year = {2021},
journal = {IEEE Trans. Inf. Forensics Secur.},
author = {{Abdulrahman Alaql} and {Swarup Bhunia}}
}
@article{journals/tifs/ChakrabortyCAB21,
title = {SAIL: Analyzing Structural Artifacts of Logic Locking Using Machine Learning.},
year = {2021},
journal = {IEEE Trans. Inf. Forensics Secur.},
author = {{Prabuddha Chakraborty} and {Jonathan Cruz 001} and {Abdulrahman Alaql} and {Swarup Bhunia}}
}
@article{journals/todaes/RoyRHB20,
title = {FaultDroid: An Algorithmic Approach for Fault-Induced Information Leakage Analysis.},
year = {2021},
journal = {ACM Trans. Design Autom. Electr. Syst.},
author = {{Indrani Roy} and {Chester Rebeiro} and {Aritra Hazra} and {Swarup Bhunia}}
}
@article{journals/tvlsi/AlaqlRB21,
title = {SCOPE: Synthesis-Based Constant Propagation Attack on Logic Locking.},
year = {2021},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Abdulrahman Alaql} and {Md. Moshiur Rahman 001} and {Swarup Bhunia}}
}
@article{journals/tvlsi/ZhangPSTB21,
title = {On Database-Free Authentication of Microelectronic Components.},
year = {2021},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Fengchao Zhang} and {Shubhra Deb Paul} and {Patanjali SLPSK} and {Amit Ranjan Trivedi} and {Swarup Bhunia}}
}
@incollection{books/sp/22/SantikellurCB22,
title = {Hardware IP Protection Using Register Transfer Level Locking and Obfuscation of Control and Data Flow.},
year = {2022},
booktitle = {Behavioral Synthesis for Hardware Security},
author = {{Pranesh Santikellur} and {Rajat Subhra Chakraborty} and {Swarup Bhunia}}
}
@inproceedings{conf/aicas/ShylendraSBT22,
title = {Analog-Domain Time-Series Moment Extraction for Low Power Predictive Maintenance Analytics.},
year = {2022},
booktitle = {AICAS},
author = {{Ahish Shylendra} and {Priyesh Shukla} and {Swarup Bhunia} and {Amit Ranjan Trivedi}},
publisher = {IEEE},
booktitle = {4th IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2022, Incheon, Republic of Korea, June 13-15, 2022}
}
@inproceedings{conf/asianhost/CruzGB22,
title = {Analysis of Hardware Trojan Resilience Enabled through Logic Locking.},
year = {2022},
booktitle = {AsianHOST},
author = {{Jonathan Cruz 001} and {Pravin Gaikwad} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {Asian Hardware Oriented Security and Trust Symposium, AsianHOST 2022, Singapore, Singapore, December 14-16, 2022}
}
@inproceedings{conf/asianhost/CruzGNCB22,
title = {A Machine Learning Based Automatic Hardware Trojan Attack Space Exploration and Benchmarking Framework.},
year = {2022},
booktitle = {AsianHOST},
author = {{Jonathan Cruz 001} and {Pravin Gaikwad} and {Abhishek Anil Nair} and {Prabuddha Chakraborty} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {Asian Hardware Oriented Security and Trust Symposium, AsianHOST 2022, Singapore, Singapore, December 14-16, 2022}
}
@inproceedings{conf/aspdac/LakshmyRB22,
title = {FORTIFY: Analytical Pre-Silicon Side-Channel Characterization of Digital Designs.},
year = {2022},
booktitle = {ASP-DAC},
author = {{A. V. Lakshmy} and {Chester Rebeiro} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {27th Asia and South Pacific Design Automation Conference, ASP-DAC 2022, Taipei, Taiwan, January 17-20, 2022}
}
@inproceedings{conf/dac/BhattacharyayCC22,
title = {VIPR-PCB: a machine learning based golden-free PCB assurance framework.},
year = {2022},
booktitle = {DAC},
author = {{Aritra Bhattacharyay} and {Prabuddha Chakraborty} and {Jonathan Cruz 001} and {Swarup Bhunia}},
publisher = {ACM},
booktitle = {DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}
}
@inproceedings{conf/iccad/BhuniaDFKKR022,
title = {Hardware IP Protection against Confidentiality Attacks and Evolving Role of CAD Tool.},
year = {2022},
booktitle = {ICCAD},
author = {{Swarup Bhunia} and {Amitabh Das} and {Saverio Fazzari} and {Vivian Kammler} and {David Kehlet} and {Jeyavijayan Rajendran} and {Ankur Srivastava 001}},
publisher = {ACM},
booktitle = {Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}
}
@inproceedings{conf/itc/ChakrabortyB22,
title = {AI-Driven Assurance of Hardware IP against Reverse Engineering Attacks.},
year = {2022},
booktitle = {ITC},
author = {{Prabuddha Chakraborty} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {IEEE International Test Conference, ITC 2022, Anaheim, CA, USA, September 23-30, 2022}
}
@inproceedings{conf/smartiot/Dizon-ParadisFR22,
title = {Light Pollution Monitoring Using A Modular IoT Sensor Platform.},
year = {2022},
booktitle = {SmartIoT},
author = {{Reiner N. Dizon-Paradis} and {Oliver Ferrigno} and {Ishamor Reid} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {IEEE International Conference on Smart Internet of Things, SmartIoT 2022, Suzhou, China, August 19-21, 2022}
}
@inproceedings{conf/vlsid/AlaqlDRB22,
title = {SEVA: Structural Analysis based Security Evaluation of Sequential Locking.},
year = {2022},
booktitle = {VLSID},
author = {{Abdulrahman Alaql} and {Aritra Dasgupta} and {Md. Moshiur Rahman 001} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {35th International Conference on VLSI Design and 2022 21st International Conference on Embedded Systems, VLSID 2022, Bangalore, India, February 26 - March 2, 2022}
}
@article{journals/access/HuanOHBJM22,
title = {Intrinsically Secure Non-Volatile Memory Using ReRAM Devices.},
year = {2022},
journal = {IEEE Access},
author = {{Junjun Huan} and {Nicholas Olexa} and {Brett Hochman} and {Swarup Bhunia} and {Rashmi Jha} and {Soumyajit Mandal}}
}
@article{journals/corr/abs-2204-08580,
title = {Automatic Hardware Trojan Insertion using Machine Learning.},
year = {2022},
journal = {CoRR},
author = {{Jonathan Cruz 001} and {Pravin Gaikwad} and {Abhishek Nair} and {Prabuddha Chakraborty} and {Swarup Bhunia}}
}
@article{journals/esl/ChakrabortyCPRB22,
title = {HASTE: Software Security Analysis for Timing Attacks on Clear Hardware Assumption.},
year = {2022},
journal = {IEEE Embed. Syst. Lett.},
author = {{Prabuddha Chakraborty} and {Jonathan Cruz 001} and {Christopher Posada} and {Sandip Ray} and {Swarup Bhunia}}
}
@article{journals/esl/ChakrabortyDB22,
title = {ARTS: A Framework for AI-Rooted IoT System Design Automation.},
year = {2022},
journal = {IEEE Embed. Syst. Lett.},
author = {{Prabuddha Chakraborty} and {Reiner N. Dizon-Paradis} and {Swarup Bhunia}}
}
@article{journals/iotj/PaulZSTB22,
title = {RIHANN: Remote IoT Hardware Authentication With Intrinsic Identifiers.},
year = {2022},
journal = {IEEE Internet Things J.},
author = {{Shubhra Deb Paul} and {Fengchao Zhang} and {Patanjali SLPSK} and {Amit Ranjan Trivedi} and {Swarup Bhunia}}
}
@article{journals/nca/ChakrabortyB22,
title = {BINGO: brain-inspired learning memory.},
year = {2022},
journal = {Neural Comput. Appl.},
author = {{Prabuddha Chakraborty} and {Swarup Bhunia}}
}
@article{journals/tcad/AlaqlCCHB22,
title = {LeGO: A Learning-Guided Obfuscation Framework for Hardware IP Protection.},
year = {2022},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Abdulrahman Alaql} and {Saranyu Chattopadhyay} and {Prabuddha Chakraborty} and {Tamzidul Hoque} and {Swarup Bhunia}}
}
@article{journals/tvlsi/HasanCCBH22,
title = {Trojan Resilient Computing in COTS Processors Under Zero Trust.},
year = {2022},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Mahmudul Hasan 012} and {Jonathan Cruz 001} and {Prabuddha Chakraborty} and {Swarup Bhunia} and {Tamzidul Hoque}}
}
@article{journals/tvlsi/NathRBR22,
title = {SoCCom: Automated Synthesis of System-on-Chip Architectures.},
year = {2022},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Atul Prasad Deb Nath} and {Kshitij Raj} and {Swarup Bhunia} and {Sandip Ray}}
}
@article{journals/tvlsi/YangHCB22,
title = {Golden-Free Hardware Trojan Detection Using Self-Referencing.},
year = {2022},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Shuo Yang} and {Tamzidul Hoque} and {Prabuddha Chakraborty} and {Swarup Bhunia}}
}
@inproceedings{conf/ijcnn/GuhaTB23,
title = {Energy Efficient Memory-based Inference of LSTM by Exploiting FPGA Overlay.},
year = {2023},
booktitle = {IJCNN},
author = {{Krishnendu Guha} and {Amit Ranjan Trivedi} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {International Joint Conference on Neural Networks, IJCNN 2023, Gold Coast, Australia, June 18-23, 2023}
}
@inproceedings{conf/socc/ChakrabortySB23,
title = {Hardware Specification Aware Timing Side Channel Security Analysis.},
year = {2023},
booktitle = {SOCC},
author = {{Prabuddha Chakraborty} and {Tasneem Suha} and {Swarup Bhunia}},
publisher = {IEEE},
booktitle = {36th IEEE International System-on-Chip Conference, SOCC 2023, Santa Clara, CA, USA, September 5-8, 2023}
}
@inproceedings{conf/vlsid/ShylendraBT23,
title = {Unifying Intrinsically-Operated Physically Unclonable Function and Random Number Generation in Analog Circuits: A Case Study on Successive Approximation ADC.},
year = {2023},
booktitle = {VLSID},
author = {{Ahish Shylendra} and {Swarup Bhunia} and {Amit Ranjan Trivedi}},
publisher = {IEEE},
booktitle = {36th International Conference on VLSI Design and 2023 22nd International Conference on Embedded Systems, VLSID 2023, Hyderabad, India, January 8-12, 2023}
}
@inproceedings{conf/vts/FugateSMDBDK23,
title = {An Exploration of ATPG Methods for Redacted IP and Reconfigurable Hardware.},
year = {2023},
booktitle = {VTS},
author = {{Jackson Fugate} and {Greg Stitt} and {Naren Vikram Raj Masna} and {Aritra Dasgupta} and {Swarup Bhunia} and {Nij Dorairaj} and {David Kehlet}},
publisher = {IEEE},
booktitle = {41st IEEE VLSI Test Symposium, VTS 2023, San Diego, CA, USA, April 24-26, 2023}
}
@article{journals/access/DehghanzadehHKMB23,
title = {On-Chip Batteries as Distributed Energy Sources in Heterogeneous 2.5D/3D Integrated Circuits.},
year = {2023},
journal = {IEEE Access},
author = {{Peyman Dehghanzadeh} and {Junjun Huan} and {Rohan Reddy Kalavakonda} and {Soumyajit Mandal} and {Swarup Bhunia}}
}
@article{journals/access/HuanDMB23,
title = {Contact-Less Integrity Verification of Microelectronics Using Near-Field EM Analysis.},
year = {2023},
journal = {IEEE Access},
author = {{Junjun Huan} and {Peyman Dehghanzadeh} and {Soumyajit Mandal} and {Swarup Bhunia}}
}
@article{journals/corr/abs-2307-07631,
title = {Towards Model-Size Agnostic, Compute-Free, Memorization-based Inference of Deep Learning.},
year = {2023},
journal = {CoRR},
author = {{Davide Giacomini} and {Maeesha Binte Hashem} and {Jeremiah Suarez} and {Swarup Bhunia} and {Amit Ranjan Trivedi}}
}
@article{journals/corr/abs-2308-02792,
title = {DiSPEL: Distributed Security Policy Enforcement for Bus-based SoC.},
year = {2023},
journal = {CoRR},
author = {{Sudipta Paria} and {Swarup Bhunia}}
}
@article{journals/corr/abs-2308-06932,
title = {DIVAS: An LLM-based End-to-End Framework for SoC Security Analysis and Policy-based Protection.},
year = {2023},
journal = {CoRR},
author = {{Sudipta Paria} and {Aritra Dasgupta} and {Swarup Bhunia}}
}
@article{journals/corr/abs-2311-10581,
title = {LUNA-CIM: Lookup Table based Programmable Neural Processing in Memory.},
year = {2023},
journal = {CoRR},
author = {{Peyman Dehghanzadeh} and {Baibhab Chatterjee} and {Swarup Bhunia}}
}
@article{journals/tvlsi/0001SGB23,
title = {TVF: A Metric for Quantifying Vulnerability Against Hardware Trojan Attacks.},
year = {2023},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Jonathan Cruz 001} and {Patanjali SLPSK} and {Pravin Gaikwad} and {Swarup Bhunia}}
}
@article{journals/tc/PaulB23,
title = {CurIAs: Current-Based IC Authentication by Exploiting Supply Current Variations.},
year = {2023},
month = {February},
journal = {IEEE Trans. Computers},
author = {{Shubhra Deb Paul} and {Swarup Bhunia}}
}
@article{journals/tcad/BhattacharyayYCCBH23,
title = {An Automated Framework for Board-Level Trojan Benchmarking.},
year = {2023},
month = {February},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Aritra Bhattacharyay} and {Shuo Yang} and {Jonathan Cruz 001} and {Prabuddha Chakraborty} and {Swarup Bhunia} and {Tamzidul Hoque}}
}
@article{journals/iotm/ChakrabortyDB23,
title = {SAVIOR: A Sustainable Network of Vehicles with Near-Perpetual Mobility.},
year = {2023},
month = {June},
journal = {IEEE Internet Things Mag.},
author = {{Prabuddha Chakraborty} and {Reiner N. Dizon-Paradis} and {Swarup Bhunia}}
}
@article{journals/tc/SLPSKNRB23,
title = {SIGNED: A Challenge-Response Scheme for Electronic Hardware Watermarking.},
year = {2023},
month = {June},
journal = {IEEE Trans. Computers},
author = {{Patanjali SLPSK} and {Abhishek Anil Nair} and {Chester Rebeiro} and {Swarup Bhunia}}
}
@article{journals/jetc/GaikwadCCBH23,
title = {Hardware IP Assurance against Trojan Attacks with Machine Learning and Post-processing.},
year = {2023},
month = {July},
journal = {ACM J. Emerg. Technol. Comput. Syst.},
author = {{Pravin Gaikwad} and {Jonathan Cruz 001} and {Prabuddha Chakraborty} and {Swarup Bhunia} and {Tamzidul Hoque}}
}
@article{journals/tc/SLPSKRB23,
title = {TREEHOUSE: A Secure Asset Management Infrastructure for Protecting 3DIC Designs.},
year = {2023},
month = {August},
journal = {IEEE Trans. Computers},
author = {{Patanjali SLPSK} and {Sandip Ray} and {Swarup Bhunia}}
}
@article{journals/tc/CruzPMCGB23,
title = {A Framework for Automated Exploration of Trojan Attack Space in FPGA Netlists.},
year = {2023},
month = {October},
journal = {IEEE Trans. Computers},
author = {{Jonathan Cruz 001} and {Christopher Posada} and {Naren Vikram Raj Masna} and {Prabuddha Chakraborty} and {Pravin Gaikwad} and {Swarup Bhunia}}
}
@article{journals/dt/Chakraborty0AMB24,
title = {Learning Your Lock: Exploiting Structural Vulnerabilities in Logic Locking.},
year = {2024},
journal = {IEEE Des. Test},
author = {{Prabuddha Chakraborty} and {Jonathan Cruz 001} and {Rasheed Almawzan} and {Tanzim Mahfuz} and {Swarup Bhunia}}
}
@article{journals/tc/SadhukhanSPBM24,
title = {VALIANT: An EDA Flow for Side-Channel Leakage Evaluation and Tailored Protection.},
year = {2024},
month = {February},
journal = {IEEE Trans. Computers},
author = {{Rajat Sadhukhan} and {Sayandeep Saha} and {Sudipta Paria} and {Swarup Bhunia} and {Debdeep Mukhopadhyay}}
}
@article{journals/tvlsi/RahmanB24,
title = {Practical Implementation of Robust State-Space Obfuscation for Hardware IP Protection.},
year = {2024},
month = {February},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Md. Moshiur Rahman 001} and {Swarup Bhunia}}
}
@article{journals/tvlsi/VegaSB24,
title = {IOLock: An Input/Output Locking Scheme for Protection Against Reverse Engineering Attacks.},
year = {2024},
month = {February},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Christopher Vega} and {Patanjali SLPSK} and {Swarup Bhunia}}
}