Thomas M. Conte

Affiliations:
  • Georgia Institute of Technology, Atlanta GA, USA


According to our database1, Thomas M. Conte authored at least 118 papers between 1984 and 2024.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 2005, "For contributions to computer architecture, compiler code generation and performance evaluation.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
5 Year Update to the Next Steps in Quantum Computing.
CoRR, 2024

2023
Status Update on the IEEE Rebooting Computing Initiative.
Proceedings of the IEEE John Vincent Atanasoff International Symposium on Modern Computing, 2023

The Invention of Electronic Digital Computing - Plenary Panel Summary.
Proceedings of the IEEE John Vincent Atanasoff International Symposium on Modern Computing, 2023

Enabling Multi-threading in Heterogeneous Quantum-Classical Programming Models.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2023

2022
Scalable Energy-Efficient Microarchitectures With Computational Error Tolerance Via Redundant Residue Number Systems.
IEEE Trans. Computers, 2022

"Smarter" NICs for faster molecular dynamics: a case study.
Proceedings of the 2022 IEEE International Parallel and Distributed Processing Symposium, 2022

2021
SortCache: Intelligent Cache Management for Accelerating Sparse Data Workloads.
ACM Trans. Archit. Code Optim., 2021

Advancing Computing's Foundation of US Industry & Society.
CoRR, 2021

A vision to compute like nature: thermodynamically.
Commun. ACM, 2021

Enabling a Programming Environment for an Experimental Ion Trap Quantum Testbed.
Proceedings of the 2021 International Conference on Rebooting Computing (ICRC), Los Alamitos, CA, USA, November 30, 2021

2020
Programming Strategies for Irregular Algorithms on the Emu Chick.
ACM Trans. Parallel Comput., 2020

MetaStrider: Architectures for Scalable Memory-centric Reduction of Sparse Data Streams.
ACM Trans. Archit. Code Optim., 2020

Evolving Methods for Evaluating and Disseminating Computing Research.
CoRR, 2020

Intrepydd: performance, productivity, and portability for data science application kernels.
Proceedings of the 2020 ACM SIGPLAN International Symposium on New Ideas, 2020

Special Session: Exploring the Ultimate Limits of Adiabatic Circuits.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

2019
A microbenchmark characterization of the Emu chick.
Parallel Comput., 2019

Thermodynamic Computing.
CoRR, 2019

Wrangling Rogues: A Case Study on Managing Experimental Post-Moore Architectures.
Proceedings of the Practice and Experience in Advanced Research Computing on Rise of the Machines (learning), 2019

Application Performance of Physical System Simulations.
Proceedings of the Parallel Computing: Technology Trends, 2019

Experimental Insights from the Rogues Gallery.
Proceedings of the 2019 IEEE International Conference on Rebooting Computing, 2019

2018
Extending Moore's Law via Computationally Error-Tolerant Computing.
ACM Trans. Archit. Code Optim., 2018

Iterative Modulo Scheduling.
IEEE Micro, 2018

Standards: Roadmapping Computer Technology Trends Enlightens Industry.
Computer, 2018

Rebooting Computers to Avoid Meltdown and Spectre.
Computer, 2018

Tackling memory access latency through DRAM row management.
Proceedings of the International Symposium on Memory Systems, 2018

An Initial Characterization of the Emu Chick.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

Memory System Design for Ultra Low Power, Computationally Error Resilient Processor Microarchitectures.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018

2017
Architectures for the Post-Moore Era.
IEEE Micro, 2017

Challenges to Keeping the Computer Industry Centered in the US.
CoRR, 2017

Sustaining Moore's Law with 3D Chips.
Computer, 2017

Rebooting Computing: The Road Ahead.
Computer, 2017

The Superstrider Architecture: Integrating Logic and Memory Towards Non-Von Neumann Computing.
Proceedings of the IEEE International Conference on Rebooting Computing, 2017

Superstrider associative array architecture: Approved for unlimited unclassified release: SAND2017-7089 C.
Proceedings of the 2017 IEEE High Performance Extreme Computing Conference, 2017

2016
A Brief Survey of Non-Residue Based Computational Error Correction.
CoRR, 2016

Improving DRAM Bandwidth Utilization with MLP-Aware OS Paging.
Proceedings of the Second International Symposium on Memory Systems, 2016

Computationally-redundant energy-efficient processing for y'all (CREEPY).
Proceedings of the IEEE International Conference on Rebooting Computing, 2016

Energy efficiency limits of logic and memory.
Proceedings of the IEEE International Conference on Rebooting Computing, 2016

2015
Contech: Efficiently Generating Dynamic Task Graphs for Arbitrary Parallel Programs.
ACM Trans. Archit. Code Optim., 2015

Rebooting Computing: New Strategies for Technology Scaling.
Computer, 2015

The Computer Society Must Change.
Computer, 2015

A Time of Change.
Computer, 2015

Rebooting Computing and Low-Power Image Recognition Challenge.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

2014
Manifold: A parallel simulation framework for multicore systems.
Proceedings of the 2014 IEEE International Symposium on Performance Analysis of Systems and Software, 2014

2013
High-speed formal verification of heterogeneous coherence hierarchies.
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013

2012
Designing Configurable, Modifiable and Reusable Components for Simulation of Multicore Systems.
Proceedings of the 2012 SC Companion: High Performance Computing, 2012

Accelerating Multi-threaded Application Simulation through Barrier-Interval Time-Parallelism.
Proceedings of the 20th IEEE International Symposium on Modeling, 2012

Extrapolation Pitfalls When Evaluating Limited Endurance Memory.
Proceedings of the 20th IEEE International Symposium on Modeling, 2012

2011
Manager-client pairing: a framework for implementing coherence hierarchies.
Proceedings of the 44rd Annual IEEE/ACM International Symposium on Microarchitecture, 2011

Parallel Pattern Detection for Architectural Improvements.
Proceedings of the 3rd USENIX Workshop on Hot Topics in Parallelism, 2011

Energy efficient Phase Change Memory based main memory for future high performance systems.
Proceedings of the 2011 International Green Computing Conference and Workshops, 2011

2009
A Benchmark Characterization of the EEMBC Benchmark Suite.
IEEE Micro, 2009

Embedded Multicore Processors and Systems.
IEEE Micro, 2009

On power and energy trends of IEEE 802.11n PHY.
Proceedings of the 12th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, 2009

2008
A Power Model for Register-Sharing Structures.
Proceedings of the Distributed Embedded Systems: Design, 2008

Energy-aware opcode design.
Proceedings of the 26th International Conference on Computer Design, 2008

2007
Reverse State Reconstruction for Sampled Microarchitectural Simulation.
Proceedings of the 2007 IEEE International Symposium on Performance Analysis of Systems and Software, 2007

Combining cluster sampling with single pass methods for efficient sampling regimen design.
Proceedings of the 25th International Conference on Computer Design, 2007

Keynote: Insight, Not (Random) Numbers: An Embedded Perspective.
Proceedings of the High Performance Embedded Architectures and Compilers, 2007

2005
High-Performance and Low-Cost Dual-Thread VLIW Processor Using Weld Architecture Paradigm.
IEEE Trans. Parallel Distributed Syst., 2005

Enhancing Memory-Level Parallelism via Recovery-Free Value Prediction.
IEEE Trans. Computers, 2005

Spectral prefetcher: An effective mechanism for L2 cache prefetching.
ACM Trans. Archit. Code Optim., 2005

Configurable string matching hardware for speeding up intrusion detection.
SIGARCH Comput. Archit. News, 2005

Insight, not (random) numbers.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2005

2004
Guest Editors' Introduction: Opportunities and Challenges in Embedded Systems.
IEEE Micro, 2004

2003
Adaptive mode control: A static-power-efficient cache design.
ACM Trans. Embed. Comput. Syst., 2003

Modeling Value Speculation: An Optimal Edge Selection Problem.
IEEE Trans. Computers, 2003

Detecting Global Stride Locality in Value Streams.
Proceedings of the 30th International Symposium on Computer Architecture (ISCA 2003), 2003

2002
Choosing the Brain(s) of an Embedded System.
Computer, 2002

Code Size Efficiency in Global Scheduling for ILP Processors.
Proceedings of the 6th Annual Workshop on Interaction between Compilers and Computer Architecture (INTERACT-6 2002), 2002

2001
Tree Traversal Scheduling: A Global Instruction Scheduling Technique for VLIW/EPIC Processors.
Proceedings of the Languages and Compilers for Parallel Computing, 2001

Weld: A Multithreading Technique Towards Latency-Tolerant VLIW Processors.
Proceedings of the High Performance Computing - HiPC 2001, 8th International Conference, 2001

2000
System-level power consumption modeling and tradeoff analysis techniques for superscalar processor design.
IEEE Trans. Very Large Scale Integr. Syst., 2000

Properties of Rescheduling Size Invariance for Dynamic Rescheduling-Based VLIW Cross-Generation Compatibility.
IEEE Trans. Computers, 2000

A Lightweight Algorithm for Dynamic If-Conversion during Dynamic Optimization.
Proceedings of the 2000 International Conference on Parallel Architectures and Compilation Techniques (PACT'00), 2000

1999
Challenges in processor modeling and validation [Guest Editors?? introduction].
IEEE Micro, 1999

Editors' Introduction.
Int. J. Parallel Program., 1999

Editor's Introduction.
Int. J. Parallel Program., 1999

Compiler-Driven Cached Code Compression Schemes for Embedded ILP Processors.
Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture, 1999

Dynamically Programmable Cache Evaluation and Virtualization.
Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays, 1999

1998
Combining Trace Sampling with Single Pass Methods for Efficient Cache Simulation.
IEEE Trans. Computers, 1998

MPS: Miss-Path Scheduling for Multiple-Issue Processors.
IEEE Trans. Computers, 1998

Subword extensions for video processing on mobile systems.
IEEE Concurr., 1998

Performance Analysis and Its Impact on Design.
Computer, 1998

Unified Assign and Schedule: A New Approach to Scheduling for Clustered Register File Microarchitectures.
Proceedings of the 31st Annual IEEE/ACM International Symposium on Microarchitecture, 1998

Treegion Scheduling for Wide Issue Processors.
Proceedings of the Fourth International Symposium on High-Performance Computer Architecture, Las Vegas, Nevada, USA, January 31, 1998

Value Speculation Scheduling for High Performance Processors.
Proceedings of the ASPLOS-VIII Proceedings of the 8th International Conference on Architectural Support for Programming Languages and Operating Systems, 1998

A Fast Interrupt Handling Scheme for VLIW Processors.
Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, 1998

1997
Optimization of VLIW compatibility systems employing dynamic rescheduling.
Int. J. Parallel Program., 1997

Compilers for Instruction-Level Parallelism.
Computer, 1997

Challenges to Combining General-Purpose and Multimedia Processors.
Computer, 1997

Combining General-Purpose and Multimedia in One Package: Challenges and Opportunities.
Proceedings of the 30th Annual Hawaii International Conference on System Sciences (HICSS-30), 1997

Treegion Scheduling for Highly Parallel Processors.
Proceedings of the Euro-Par '97 Parallel Processing, 1997

Path Prediction for High Issue-Rate Processors.
Proceedings of the 1997 Conference on Parallel Architectures and Compilation Techniques (PACT '97), 1997

1996
Hardware-Based Profiling: An Effective Technique for Profile-Driven Optimization.
Int. J. Parallel Program., 1996

Importance of Profiling and Compatibility.
ACM Comput. Surv., 1996

Bipartitioning for Hybrid FPGA-Software Simulatio.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

A Persistent Rescheduled-page Cache for Low Overhead Object Code Compatibility in VLIW Architectures.
Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture, 1996

Accurate and Practical Profile-driven Compilation Using the Profile Buffer.
Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture, 1996

Instruction Fetch Mechanisms for VLIW Architectures with Compressed Encodings.
Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture, 1996

Reducing State Loss For Effective Trace Sampling of Superscalar Processors.
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996

1995
Advances in Benchmarking Techniques: New Standards and Quantitative Metrics.
Adv. Comput., 1995

Dynamic rescheduling: a technique for object code compatibility in VLIW architectures.
Proceedings of the 28th Annual International Symposium on Microarchitecture, Ann Arbor, Michigan, USA, November 29, 1995

Optimization of Instruction Fetch Mechanisms for High Issue Rates.
Proceedings of the 22nd Annual International Symposium on Computer Architecture, 1995

Commercializing profile-driven optimization.
Proceedings of the 28th Annual Hawaii International Conference on System Sciences (HICSS-28), 1995

A technique to determine power-efficient, high-performance superscalar processors.
Proceedings of the 28th Annual Hawaii International Conference on System Sciences (HICSS-28), 1995

1994
The Susceptibility of Programs to Context Switching.
IEEE Trans. Computers, 1994

Using branch handling hardware to support profile-driven optimization.
Proceedings of the 27th Annual International Symposium on Microarchitecture, San Jose, California, USA, November 30, 1994

Fast Simulation of Computer Architectures: Introduction.
Proceedings of the 27th Annual Hawaii International Conference on System Sciences (HICSS-27), 1994

1993
The Effect of Code Expanding Optimizations on Instruction Cache Design.
IEEE Trans. Computers, 1993

Determining Cost-Effective Multiple Issue Processor Designs.
Proceedings of the Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, 1993

1992
Systematic Computer Architecture Prototyping
PhD thesis, 1992

Systematic prototyping of superscalar computer architectures.
Proceedings of the Third International Workshop on Rapid System Prototyping, 1992

Tradeoffs in processor/memory interfaces for superscalar processors.
Proceedings of the 25th Annual International Symposium on Microarchitecture, 1992

1991
A brief survey of benchmark usage in the architecture community.
SIGARCH Comput. Archit. News, 1991

Benchmark Characterization.
Computer, 1991

1989
A Simulation Study of Simultaneous Vector Prefetch Performance in Multiprocessor Memory Subsystems (Extended Abstract).
Proceedings of the 1989 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, 1989

Comparing Software and Hardware Schemes For Reducing the Cost of Branches.
Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, 1989

1984
Thinwire protocol for connecting personal computers to the Internet.
RFC, September, 1984


  Loading...