% csauthors.net - beta - BibTeX bibliography of Vladimir Stojanovic
@inproceedings{conf/iccd/StojanovicOB98,
title = {Comparative analysis of latches and flip-flops for high-performance systems.},
year = {1998},
booktitle = {ICCD},
author = {{Vladimir Stojanovic} and {Vojin G. Oklobdzija} and {Raminder Singh Bajwa}},
publisher = {IEEE Computer Society},
booktitle = {International Conference on Computer Design: VLSI in Computers and Processors, ICCD 1998, Proceedings, 5-7 October, 1998, Austin, TX, USA}
}
@inproceedings{conf/islped/StojanovicOB98,
title = {A unified approach in the analysis of latches and flip-flops for low-power systems.},
year = {1998},
booktitle = {ISLPED},
author = {{Vladimir Stojanovic} and {Vojin G. Oklobdzija} and {Raminder Singh Bajwa}},
publisher = {ACM},
booktitle = {Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998, Monterey, California, USA, August 10-12, 1998}
}
@article{journals/jssc/StojanovicO99,
title = {Comparative analysis of master-slave latches and flip-flops for high-performance and low-power systems.},
year = {1999},
journal = {IEEE J. Solid State Circuits},
author = {{Vladimir Stojanovic} and {Vojin G. Oklobdzija}}
}
@article{journals/jssc/NikolicOSJCL00,
title = {Improved sense-amplifier-based flip-flop: design and measurements.},
year = {2000},
journal = {IEEE J. Solid State Circuits},
author = {{Borivoje Nikolic} and {Vojin G. Oklobdzija} and {Vladimir Stojanovic} and {Wenyan Jia} and {James Kar-Shing Chiu} and {Michael Ming-Tak Leung 001}}
}
@article{journals/jssc/YangSMHE01,
title = {A serial-link transceiver based on 8-GSamples/s A/D and D/A converters in 0.25-μm CMOS.},
year = {2001},
journal = {IEEE J. Solid State Circuits},
author = {{Chih-Kong Ken Yang} and {Vladimir Stojanovic} and {Siamak Modjtahedi} and {Mark A. Horowitz} and {William F. Ellersick}}
}
@inproceedings{conf/icc/StojanovicGH02,
title = {Transmit pre-emphasis for high-speed time-division-multiplexed serial-link transceiver.},
year = {2002},
booktitle = {ICC},
author = {{Vladimir Marko Stojanovic} and {Georgios Ginis} and {Mark A. Horowitz}},
publisher = {IEEE},
booktitle = {IEEE International Conference on Communications, ICC 2002, April 28 - May 2, 2002, New York City, NY, USA}
}
@inproceedings{conf/iccad/BrodersenHMNS02,
title = {Methods for true power minimization.},
year = {2002},
booktitle = {ICCAD},
author = {{Robert W. Brodersen} and {Mark Horowitz} and {Dejan Markovic} and {Borivoje Nikolic} and {Vladimir Stojanovic}},
publisher = {ACM / IEEE Computer Society},
booktitle = {Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002}
}
@inproceedings{conf/cicc/StojanovicH03,
title = {Modeling and analysis of high-speed links.},
year = {2003},
booktitle = {CICC},
author = {{Vladimir Stojanovic} and {Mark Horowitz}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE Custom Integrated Circuits Conference, CICC 2003, San Jose, CA, USA, September 21 - 24, 2003}
}
@article{journals/jssc/ZerbeWSCWTKSHTK03,
title = {Equalization and clock recovery for a 2.5-10-Gb/s 2-PAM/4-PAM backplane transceiver cell.},
year = {2003},
journal = {IEEE J. Solid State Circuits},
author = {{Jared L. Zerbe} and {Carl W. Werner} and {Vladimir Stojanovic} and {Fred Chen} and {Jason Wei} and {Grace Tsang} and {Dennis Kim} and {William F. Stonecypher} and {Andrew Ho} and {Timothy P. Thrush} and {Ravi T. Kollipara} and {Mark A. Horowitz} and {Kevin S. Donnelly}}
}
@inproceedings{conf/globecom/AlonSKBH04,
title = {Equalization of modal dispersion in multimode fiber using spatial light modulators.},
year = {2004},
booktitle = {GLOBECOM},
author = {{Elad Alon} and {Vladimir Stojanovic} and {Joseph M. Kahn} and {Stephen P. Boyd} and {Mark Horowitz}},
publisher = {IEEE},
booktitle = {Proceedings of the Global Telecommunications Conference, 2004. GLOBECOM '04, Dallas, Texas, USA, 29 November - 3 December 2004}
}
@inproceedings{conf/globecom/AmirkhanySH04,
title = {Multi-tone signaling for high-speed backplane electrical links.},
year = {2004},
booktitle = {GLOBECOM},
author = {{Amir Amirkhany} and {Vladimir Stojanovic} and {Mark A. Horowitz}},
publisher = {IEEE},
booktitle = {Proceedings of the Global Telecommunications Conference, 2004. GLOBECOM '04, Dallas, Texas, USA, 29 November - 3 December 2004}
}
@inproceedings{conf/icc/StojanovicAH04,
title = {Optimal linear precoding with theoretical and practical data rates in high-speed serial-link backplane communication.},
year = {2004},
booktitle = {ICC},
author = {{Vladimir Stojanovic} and {Amir Amirkhany} and {Mark A. Horowitz}},
publisher = {IEEE},
booktitle = {Proceedings of IEEE International Conference on Communications, ICC 2004, Paris, France, 20-24 June 2004}
}
@article{journals/jssc/MarkovicSNHB04,
title = {Methods for true energy-performance optimization.},
year = {2004},
journal = {IEEE J. Solid State Circuits},
author = {{Dejan Markovic} and {Vladimir Stojanovic} and {Borivoje Nikolic} and {Mark A. Horowitz} and {Robert W. Brodersen}}
}
@inproceedings{conf/cicc/WernerHHJCGSLBA05,
title = {Modeling, simulation, and design of a multi-mode 2-10 Gb/sec fully adaptive serial link system.},
year = {2005},
booktitle = {CICC},
author = {{Carl W. Werner} and {C. Hoyer} and {Andrew Ho} and {Metha Jeeradit} and {Fred Chen} and {Bruno W. Garlepp} and {Bill Stonecypher} and {Simon Li} and {Akash Bansal} and {Amita Agarwal} and {Elad Alon} and {Vladimir Stojanovic} and {Jared Zerbe}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, CICC 2005, DoubleTree Hotel, San Jose, California, USA, September 18-21, 2005}
}
@inproceedings{conf/iwsoc/Stojanovic05,
title = {High-Speed Serial Links: Design Trends and Challenges, invited.},
year = {2005},
booktitle = {IWSOC},
author = {{Vladimir Stojanovic}},
publisher = {IEEE Computer Society},
booktitle = {Proceedings of the 5th IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC 2005), 20-24 July 2004, Banff, Alberta, Canada}
}
@article{journals/jssc/AlonSH05,
title = {Circuits and techniques for high-resolution measurement of on-chip power supply noise.},
year = {2005},
journal = {IEEE J. Solid State Circuits},
author = {{Elad Alon} and {Vladimir Stojanovic} and {Mark A. Horowitz}}
}
@article{journals/jssc/StojanovicHGCWT05,
title = {Autonomous dual-mode (PAM2/4) serial link transceiver with adaptive equalization and data recovery.},
year = {2005},
journal = {IEEE J. Solid State Circuits},
author = {{Vladimir Stojanovic} and {Andrew Ho} and {Bruno W. Garlepp} and {Fred Chen} and {Jason Wei} and {Grace Tsang} and {Elad Alon} and {Ravi T. Kollipara} and {Carl W. Werner} and {Jared L. Zerbe} and {Mark A. Horowitz}}
}
@inproceedings{conf/dac/HatamkhaniLSY06,
title = {Power-centric design of high-speed I/Os.},
year = {2006},
booktitle = {DAC},
author = {{Hamid Hatamkhani} and {Frank Lambrecht} and {Vladimir Stojanovic} and {Chih-Kong Ken Yang}},
publisher = {ACM},
booktitle = {Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006}
}
@inproceedings{conf/dac/StojanovicBDW06,
title = {A cost-effective implementation of an ECC-protected instruction queue for out-of-order microprocessors.},
year = {2006},
booktitle = {DAC},
author = {{Vladimir Stojanovic} and {R. Iris Bahar} and {Jennifer Dworak} and {Richard Weiss 001}},
publisher = {ACM},
booktitle = {Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006}
}
@inproceedings{conf/globecom/AmirkhanyASH06,
title = {Analog Multi-Tone Signaling for High-Speed Backplane Electrical Links.},
year = {2006},
booktitle = {GLOBECOM},
author = {{Amir Amirkhany} and {Ali-Azam Abbasfar} and {Vladimir Stojanovic} and {Mark A. Horowitz}},
publisher = {IEEE},
booktitle = {Proceedings of the Global Telecommunications Conference, 2006. GLOBECOM '06, San Francisco, CA, USA, 27 November - 1 December 2006}
}
@inproceedings{conf/icc/AmirkhanyASH07,
title = {Practical Limits of Multi-Tone Signaling Over High-Speed Backplane Electrical Links.},
year = {2007},
booktitle = {ICC},
author = {{Amir Amirkhany} and {Ali-Azam Abbasfar} and {Vladimir Stojanovic} and {Mark A. Horowitz}},
publisher = {IEEE},
booktitle = {Proceedings of IEEE International Conference on Communications, ICC 2007, Glasgow, Scotland, UK, 24-28 June 2007}
}
@inproceedings{conf/icc/BlitvicS07,
title = {Statistical Simulator for Block Coded Channels with Long Residual Interference.},
year = {2007},
booktitle = {ICC},
author = {{Natasha Blitvic} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {Proceedings of IEEE International Conference on Communications, ICC 2007, Glasgow, Scotland, UK, 24-28 June 2007}
}
@inproceedings{conf/iccad/KimS07,
title = {Equalized interconnects for on-chip networks: modeling and optimization framework.},
year = {2007},
booktitle = {ICCAD},
author = {{Byungsub Kim} and {Vladimir Stojanovic}},
publisher = {IEEE Computer Society},
booktitle = {2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007}
}
@inproceedings{conf/isscc/LeibowitzKLCHJBGLFSFDHGWNSZ07,
title = {A 7.5Gb/s 10-Tap DFE Receiver with First Tap Partial Response, Spectrally Gated Adaptation, and 2nd-Order Data-Filtered CDR.},
year = {2007},
booktitle = {ISSCC},
author = {{Brian S. Leibowitz} and {Jade Kizer} and {Haechang Lee} and {Fred Chen} and {Andrew Ho} and {Metha Jeeradit} and {Akash Bansal} and {Trey Greer} and {Simon Li} and {Ramin Farjad-Rad} and {William F. Stonecypher} and {Yohan Frans} and {Barry Daly} and {Fred Heaton} and {Bruno W. Garlepp} and {Carl W. Werner} and {Nhat Nguyen} and {Vladimir Stojanovic} and {Jared Zerbe}},
publisher = {IEEE},
booktitle = {2007 IEEE International Solid-State Circuits Conference, ISSCC 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}
}
@inproceedings{conf/nanonet/ChenJSC07,
title = {Scaling and evaluation of carbon nanotube interconnects for VLSI applications.},
year = {2007},
booktitle = {Nano-Net},
author = {{Fred Chen} and {Ajay Joshi} and {Vladimir Stojanovic} and {Anantha P. Chandrakasan}},
publisher = {ICST/ACM},
booktitle = {2nd Internationa ICST Conference on Nano-Networks, Nano-Net 2007, Catania, Italy, September 24-26, 2007}
}
@inproceedings{conf/hoti/BattenJOKMHPLSHKRSA08,
title = {Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics.},
year = {2008},
booktitle = {Hot Interconnects},
author = {{Christopher Batten} and {Ajay Joshi} and {Jason Orcutt} and {Anatoly Khilo} and {Benjamin Moss} and {Charles Holzwarth} and {Milos A. Popovic} and {Hanqing Li} and {Henry I. Smith} and {Judy L. Hoyt} and {Franz X. Kärtner} and {Rajeev J. Ram} and {Vladimir Stojanovic} and {Krste Asanovic}},
publisher = {IEEE Computer Society},
booktitle = {16th Annual IEEE Symposium on High Performance Interconnects (HOTI 2008), 26-28 August 2008, Stanford, CA, USA}
}
@inproceedings{conf/icc/BlitvicZS08,
title = {Low-Complexity Pattern-Eliminating Codes for ISI-Limited Channels.},
year = {2008},
booktitle = {ICC},
author = {{Natasha Blitvic} and {Lizhong Zheng} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {Proceedings of IEEE International Conference on Communications, ICC 2008, Beijing, China, 19-23 May 2008}
}
@inproceedings{conf/iccad/ChenKMLSA08,
title = {Integrated circuit design with NEM relays.},
year = {2008},
booktitle = {ICCAD},
author = {{Fred Chen} and {Hei Kam} and {Dejan Markovic} and {Tsu-Jae King Liu} and {Vladimir Stojanovic} and {Elad Alon}},
publisher = {IEEE Computer Society},
booktitle = {2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008}
}
@inproceedings{conf/iccad/SredojevicS08,
title = {Optimization-based framework for simultaneous circuit-and-system design-space exploration: a high-speed link example.},
year = {2008},
booktitle = {ICCAD},
author = {{Ranko Sredojevic} and {Vladimir Stojanovic}},
publisher = {IEEE Computer Society},
booktitle = {2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008}
}
@article{journals/dt/KimS08,
title = {Characterization of Equalized and Repeated Interconnects for NoC Applications.},
year = {2008},
journal = {IEEE Des. Test Comput.},
author = {{Byungsub Kim} and {Vladimir Stojanovic}}
}
@article{journals/jssc/AmirkhanyASJGKS08,
title = {A 24 Gb/s Software Programmable Analog Multi-Tone Transmitter.},
year = {2008},
journal = {IEEE J. Solid State Circuits},
author = {{Amir Amirkhany} and {Aliazam Abbasfar} and {Jafar Savoj} and {Metha Jeeradit} and {Bruno W. Garlepp} and {Ravi T. Kollipara} and {Vladimir Stojanovic} and {Mark Horowitz}}
}
@article{journals/jssc/ChenRLLLOLSZY08,
title = {Near-Optimal Equalizer and Timing Adaptation for I/O Links Using a BER-Based Metric.},
year = {2008},
journal = {IEEE J. Solid State Circuits},
author = {{E-Hung Chen} and {Jihong Ren} and {Brian S. Leibowitz} and {Hae-Chang Lee} and {Qi Lin} and {Kyung Suk Oh} and {Frank Lambrecht} and {Vladimir Stojanovic} and {Jared Zerbe} and {Chih-Kong Ken Yang}}
}
@inproceedings{conf/cicc/VamvakosSN09,
title = {Discrete-time, cyclostationary phase-locked loop model for jitter analysis.},
year = {2009},
booktitle = {CICC},
author = {{Sokratis D. Vamvakos} and {Vladimir Stojanovic} and {Borivoje Nikolic}},
publisher = {IEEE},
booktitle = {IEEE Custom Integrated Circuits Conference, CICC 2009, San Jose, California, USA, 13-16 September, 2009, Proceedings}
}
@inproceedings{conf/dac/LiS09,
title = {Yield-driven iterative robust circuit optimization algorithm.},
year = {2009},
booktitle = {DAC},
author = {{Yan Li 029} and {Vladimir Stojanovic}},
publisher = {ACM},
booktitle = {Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009}
}
@inproceedings{conf/esscirc/ChenCS09,
title = {An oscilloscope array for high-impedance device characterization.},
year = {2009},
booktitle = {ESSCIRC},
author = {{Fred Chen} and {Anantha P. Chandrakasan} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {35th European Solid-State Circuits Conference, ESSCIRC 2009, Athens, Greece, 14-18 September 2009.}
}
@inproceedings{conf/hoti/JoshiKS09,
title = {Designing Energy-Efficient Low-Diameter On-Chip Networks with Equalized Interconnects.},
year = {2009},
booktitle = {Hot Interconnects},
author = {{Ajay Joshi} and {Byungsub Kim} and {Vladimir Stojanovic}},
publisher = {IEEE Computer Society},
booktitle = {17th IEEE Symposium on High Performance Interconnects, HOTI 2009, New York, New York, USA, August 25-27, 2009}
}
@inproceedings{conf/ics/BeamerABJS09,
title = {Designing multi-socket systems using silicon photonics.},
year = {2009},
booktitle = {ICS},
author = {{Scott Beamer} and {Krste Asanovic} and {Christopher Batten} and {Ajay Joshi} and {Vladimir Stojanovic}},
publisher = {ACM},
booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}
}
@inproceedings{conf/isscc/KimS09,
title = {A 4Gb/s/ch 356fJ/b 10mm equalized on-chip interconnect with nonlinear charge-injecting transmit filter and transimpedance receiver in 90nm CMOS.},
year = {2009},
booktitle = {ISSCC},
author = {{Byungsub Kim} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}
}
@inproceedings{conf/nocs/JoshiBKBSAS09,
title = {Silicon-photonic clos networks for global on-chip communication.},
year = {2009},
booktitle = {NOCS},
author = {{Ajay Joshi} and {Christopher Batten} and {Yong-Jin Kwon} and {Scott Beamer} and {Imran Shamim} and {Krste Asanovic} and {Vladimir Stojanovic}},
publisher = {IEEE Computer Society},
booktitle = {Third International Symposium on Networks-on-Chips, NOCS 2009, May 10-13 2009, La Jolla, CA, USA. Proceedings}
}
@inproceedings{conf/nocs/JoshiCS09,
title = {A Modeling and exploration framework for interconnect network design in the nanometer era.},
year = {2009},
booktitle = {NOCS},
author = {{Ajay Joshi} and {Fred Chen} and {Vladimir Stojanovic}},
publisher = {IEEE Computer Society},
booktitle = {Third International Symposium on Networks-on-Chips, NOCS 2009, May 10-13 2009, La Jolla, CA, USA. Proceedings}
}
@article{journals/micro/BattenJOKMHPLSHKRSA09,
title = {Building Many-Core Processor-to-DRAM Networks with Monolithic CMOS Silicon Photonics.},
year = {2009},
journal = {IEEE Micro},
author = {{Christopher Batten} and {Ajay Joshi} and {Jason Orcutt} and {Anatol Khilo} and {Benjamin Moss} and {Charles Holzwarth} and {Milos A. Popovic} and {Hanqing Li} and {Henry I. Smith} and {Judy L. Hoyt} and {Franz X. Kärtner} and {Rajeev J. Ram} and {Vladimir Stojanovic} and {Krste Asanovic}}
}
@inproceedings{conf/cicc/ChenCS10,
title = {A signal-agnostic compressed sensing acquisition system for wireless and implantable sensors.},
year = {2010},
booktitle = {CICC},
author = {{Fred Chen} and {Anantha P. Chandrakasan} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {IEEE Custom Integrated Circuits Conference, CICC 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}
}
@inproceedings{conf/cicc/ChenCS10a,
title = {A low-power area-efficient switching scheme for charge-sharing DACs in SAR ADCs.},
year = {2010},
booktitle = {CICC},
author = {{Fred Chen} and {Anantha P. Chandrakasan} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {IEEE Custom Integrated Circuits Conference, CICC 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}
}
@inproceedings{conf/cicc/FariborziSKJNWCKPLASM10,
title = {Analysis and demonstration of MEM-relay power gating.},
year = {2010},
booktitle = {CICC},
author = {{Hossein Fariborzi} and {Matthew Spencer} and {Vaibhav Karkare} and {Jaeseok Jeon} and {Rhesa Nathanael} and {Chengcheng Wang} and {Fred Chen} and {Hei Kam} and {Vincent Pott} and {Tsu-Jae King Liu} and {Elad Alon} and {Vladimir Stojanovic} and {Dejan Markovic}},
publisher = {IEEE},
booktitle = {IEEE Custom Integrated Circuits Conference, CICC 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}
}
@inproceedings{conf/cicc/SredojevicS10,
title = {Digital link pre-emphasis with dynamic driver impedance modulation.},
year = {2010},
booktitle = {CICC},
author = {{Ranko Sredojevic} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {IEEE Custom Integrated Circuits Conference, CICC 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}
}
@inproceedings{conf/hotchips/Stojanovic10,
title = {Silicon photonics and memories.},
year = {2010},
booktitle = {Hot Chips Symposium},
author = {{Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {2010 IEEE Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}
}
@inproceedings{conf/isca/BeamerSKJBSA10,
title = {Re-architecting DRAM memory systems with monolithically integrated silicon photonics.},
year = {2010},
booktitle = {ISCA},
author = {{Scott Beamer} and {Chen Sun 003} and {Yong-Jin Kwon} and {Ajay Joshi} and {Christopher Batten} and {Vladimir Stojanovic} and {Krste Asanovic}},
publisher = {ACM},
booktitle = {37th International Symposium on Computer Architecture (ISCA 2010), June 19-23, 2010, Saint-Malo, France}
}
@inproceedings{conf/isscc/ChenSNWFGKPJLMSA10,
title = {Demonstration of integrated micro-electro-mechanical switch circuits for VLSI applications.},
year = {2010},
booktitle = {ISSCC},
author = {{Fred Chen} and {Matthew Spencer} and {Rhesa Nathanael} and {Chengcheng Wang} and {Hossein Fariborzi} and {Abhinav Gupta 001} and {Hei Kam} and {Vincent Pott} and {Jaeseok Jeon} and {Tsu-Jae King Liu} and {Dejan Markovic} and {Vladimir Stojanovic} and {Elad Alon}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}
}
@inproceedings{conf/isscc/DraperCKMMSSS10,
title = {Signal and power integrity for SoCs.},
year = {2010},
booktitle = {ISSCC},
author = {{Don Draper} and {Fabio Campi} and {Ram Krishnamurthy 001} and {Takashi Miyamori} and {Shannon Morton} and {Willy Sansen} and {Vladimir Stojanovic} and {John T. Stonick}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}
}
@inproceedings{conf/isscc/UrardTBHPCPSBS10,
title = {Silicon 3D-integration technology and systems.},
year = {2010},
booktitle = {ISSCC},
author = {{Pascal Urard} and {Ken Takeuchi} and {Kerry Bernstein} and {Hideto Hidaka} and {Michael Phan} and {Joo-Sun Choi} and {Bob Payne} and {Vladimir Stojanovic} and {Kees van Berkel 001} and {Takayasu Sakurai}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}
}
@article{journals/jssc/KimS10,
title = {An Energy-Efficient Equalized Transceiver for RC-Dominant Channels.},
year = {2010},
journal = {IEEE J. Solid State Circuits},
author = {{Byungsub Kim} and {Vladimir Stojanovic}}
}
@article{journals/tcad/BondMLSMSAD10,
title = {Compact Modeling of Nonlinear Analog Circuits Using System Identification via Semidefinite Programming and Incremental Stability Certification.},
year = {2010},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Bradley N. Bond} and {Zohaib Mahmood} and {Yan Li 029} and {Ranko Sredojevic} and {Alexandre Megretski} and {Vladimir Stojanovic} and {Yehuda Avniel} and {Luca Daniel}}
}
@article{journals/tcas/StojanovicYH10,
title = {Guest Editorial for Special Issue on High-Performance Multichip Interconnections.},
year = {2010},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Vladimir Stojanovic} and {Chih-Kong Ken Yang} and {Ron Ho}}
}
@inproceedings{conf/asscc/LeuS11,
title = {Injection-locked clock receiver for monolithic optical link in 45nm SOI.},
year = {2011},
booktitle = {A-SSCC},
author = {{Jonathan C. Leu} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {IEEE Asian Solid-State Circuits Conference, A-SSCC 2011, Jeju, South Korea, November 14-16, 2011}
}
@inproceedings{conf/cicc/GeorgasLMSS11,
title = {Addressing link-level design tradeoffs for integrated photonic interconnects.},
year = {2011},
booktitle = {CICC},
author = {{Michael Georgas} and {Jonathan C. Leu} and {Benjamin Moss} and {Chen Sun 003} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {2011 IEEE Custom Integrated Circuits Conference, CICC 2011, San Jose, CA, USA, Sept. 19-21, 2011}
}
@inproceedings{conf/isscc/NollSSLSCWNS11,
title = {Design of "green" high-performance processor circuits.},
year = {2011},
booktitle = {ISSCC},
author = {{Tobias Noll} and {Raney Southerland} and {Vladimir Stojanovic} and {Sonia Leon} and {Lew Chua-Eoan} and {Alice Wang} and {Byeong-Gyu Nam} and {Masaya Sumita}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}
}
@article{journals/jssc/SongS11,
title = {A 6.25 Gb/s Voltage-Time Conversion Based Fractionally Spaced Linear Receive Equalizer for Mesochronous High-Speed Links.},
year = {2011},
journal = {IEEE J. Solid State Circuits},
author = {{Sanquan Song} and {Vladimir Stojanovic}}
}
@article{journals/jssc/SpencerCWNFGKPJLMAS11,
title = {Demonstration of Integrated Micro-Electro-Mechanical Relay Circuits for VLSI Applications.},
year = {2011},
journal = {IEEE J. Solid State Circuits},
author = {{Matthew Spencer} and {Fred Chen} and {Cheng C. Wang} and {Rhesa Nathanael} and {Hossein Fariborzi} and {Abhinav Gupta 001} and {Hei Kam} and {Vincent Pott} and {Jaeseok Jeon} and {Tsu-Jae King Liu} and {Dejan Markovic} and {Elad Alon} and {Vladimir Stojanovic}}
}
@article{journals/jssc/SredojevicS11,
title = {Fully Digital Transmit Equalizer With Dynamic Impedance Modulation.},
year = {2011},
journal = {IEEE J. Solid State Circuits},
author = {{Ranko Sredojevic} and {Vladimir Stojanovic}}
}
@article{journals/tcas/VamvakosSN11,
title = {Discrete-Time, Linear Periodically Time-Variant Phase-Locked Loop Model for Jitter Analysis.},
year = {2011},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Socrates D. Vamvakos} and {Vladimir Stojanovic} and {Borivoje Nikolic}}
}
@inproceedings{conf/icassp/Salehi-AbariCLS12,
title = {Performance trade-offs and design limitations of analog-to-information converter front-ends.},
year = {2012},
booktitle = {ICASSP},
author = {{Omid Salehi-Abari} and {Fred Chen} and {Fabian Lim} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {2012 IEEE International Conference on Acoustics, Speech and Signal Processing, ICASSP 2012, Kyoto, Japan, March 25-30, 2012}
}
@inproceedings{conf/icc/LimS12,
title = {Non-asymptotic analysis of compressed sensing random matrices: An U-statistics approach.},
year = {2012},
booktitle = {ICC},
author = {{Fabian Lim} and {Vladimir Marko Stojanovic}},
publisher = {IEEE},
booktitle = {Proceedings of IEEE International Conference on Communications, ICC 2012, Ottawa, ON, Canada, June 10-15, 2012}
}
@inproceedings{conf/ipps/KurianSCMMWAPKSA12,
title = {Cross-layer Energy and Performance Evaluation of a Nanophotonic Manycore Processor System Using Real Application Workloads.},
year = {2012},
booktitle = {IPDPS},
author = {{George Kurian} and {Chen Sun 003} and {Chia-Hsin Owen Chen} and {Jason E. Miller} and {Jürgen Michel} and {Lan Wei} and {Dimitri A. Antoniadis} and {Li-Shiuan Peh} and {Lionel C. Kimerling} and {Vladimir Stojanovic} and {Anant Agarwal}},
publisher = {IEEE Computer Society},
booktitle = {26th IEEE International Parallel and Distributed Processing Symposium, IPDPS 2012, Shanghai, China, May 21-25, 2012}
}
@inproceedings{conf/isscc/KosonockySBCKF12,
title = {Power/performance optimization of many-core processor SoCs.},
year = {2012},
booktitle = {ISSCC},
author = {{Stephen Kosonocky} and {Vladimir Stojanovic} and {Kees van Berkel 001} and {Ming-Yang Chao} and {Tobias Knoll} and {Joshua Friedrich}},
publisher = {IEEE},
booktitle = {2012 IEEE International Solid-State Circuits Conference, ISSCC 2012, San Francisco, CA, USA, February 19-23, 2012}
}
@inproceedings{conf/nocs/SunCKWMAPS12,
title = {DSENT - A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling.},
year = {2012},
booktitle = {NOCS},
author = {{Chen Sun 003} and {Chia-Hsin Owen Chen} and {George Kurian} and {Lan Wei} and {Jason E. Miller} and {Anant Agarwal} and {Li-Shiuan Peh} and {Vladimir Stojanovic}},
publisher = {IEEE Computer Society},
booktitle = {2012 Sixth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), Copenhagen, Denmark, 9-11 May, 2012}
}
@article{journals/esticas/BattenJSA12,
title = {Designing Chip-Level Nanophotonic Interconnection Networks.},
year = {2012},
journal = {IEEE J. Emerg. Sel. Topics Circuits Syst.},
author = {{Christopher Batten} and {Ajay Joshi} and {Vladimir Stojanovic} and {Krste Asanovic}}
}
@article{journals/jssc/ChenCS12,
title = {Design and Analysis of a Hardware-Efficient Compressed Sensing Architecture for Data Compression in Wireless Sensors.},
year = {2012},
journal = {IEEE J. Solid State Circuits},
author = {{Fred Chen} and {Anantha P. Chandrakasan} and {Vladimir Stojanovic}}
}
@article{journals/jssc/GeorgasORS12,
title = {A Monolithically-Integrated Optical Receiver in Standard 45-nm SOI.},
year = {2012},
journal = {IEEE J. Solid State Circuits},
author = {{Michael Georgas} and {Jason Orcutt} and {Rajeev J. Ram} and {Vladimir Stojanovic}}
}
@article{journals/tcas/ZhangSA12,
title = {Reliable Ultra-Low-Voltage Cache Design for Many-Core Systems.},
year = {2012},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Meilin Zhang} and {Vladimir Stojanovic} and {Paul Ampadu}}
}
@inproceedings{conf/dac/FariborziCNCHLLS13,
title = {Relays do not leak: CMOS does.},
year = {2013},
booktitle = {DAC},
author = {{Hossein Fariborzi} and {Fred Chen} and {Rhesa Nathanael} and {I-Ru Chen} and {Louis Hutin} and {Rinus Lee} and {Tsu-Jae King Liu} and {Vladimir Stojanovic}},
publisher = {ACM},
booktitle = {The 50th Annual Design Automation Conference 2013, DAC '13, Austin, TX, USA, May 29 - June 07, 2013}
}
@inproceedings{conf/date/AmpaduZS13,
title = {Breaking the energy barrier in fault-tolerant caches for multicore systems.},
year = {2013},
booktitle = {DATE},
author = {{Paul Ampadu} and {Meilin Zhang} and {Vladimir Stojanovic}},
publisher = {EDA Consortium San Jose, CA, USA / ACM DL},
booktitle = {Design, Automation and Test in Europe, DATE 13, Grenoble, France, March 18-22, 2013}
}
@inproceedings{conf/esscirc/LiLAMS13,
title = {Design trade-offs in signal component separators for outphasing power amplifiers.},
year = {2013},
booktitle = {ESSCIRC},
author = {{Zhipeng Li} and {Yan Li 029} and {Yehuda Avniel} and {Alexandre Megretski} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {ESSCIRC 2013 - Proceedings of the 39th European Solid-State Circuits Conference, Bucharest, Romania, September 16-20, 2013}
}
@inproceedings{conf/fccm/SredojevicWS13,
title = {Hardware-Software Codesign for Embedded Numerical Acceleration.},
year = {2013},
booktitle = {FCCM},
author = {{Ranko Sredojevic} and {Andrew Wright} and {Vladimir Stojanovic}},
publisher = {IEEE Computer Society},
booktitle = {21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, FCCM 2013, Seattle, WA, USA, April 28-30, 2013}
}
@inproceedings{conf/isscc/ChangMCCHMMS13,
title = {F2: VLSI power-management techniques: Principles and applications.},
year = {2013},
booktitle = {ISSCC},
author = {{Leland Chang} and {Shannon Morton} and {Ken Chang} and {Jin-Man Han} and {Piero Malcovati} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {2013 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, ISSCC 2013, San Francisco, CA, USA, February 17-21, 2013}
}
@inproceedings{conf/isscc/MossSGSOLWCNWLRPS13,
title = {A 1.23pJ/b 2.5Gb/s monolithically integrated optical carrier-injection ring modulator and all-digital driver circuit in commercial 45nm SOI.},
year = {2013},
booktitle = {ISSCC},
author = {{Benjamin Moss} and {Chen Sun 003} and {Michael Georgas} and {Jeffrey Shainline} and {Jason Orcutt} and {Jonathan C. Leu} and {Mark T. Wade} and {Yu-Hsin Chen} and {Kareem Nammari} and {Xiaoxi Wang} and {Hanqing Li} and {Rajeev J. Ram} and {Milos A. Popovic} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {2013 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, ISSCC 2013, San Francisco, CA, USA, February 17-21, 2013}
}
@inproceedings{conf/ofc/Stojanovic13,
title = {Chip and board-scale integrated Photonic Networks for next-generation computers.},
year = {2013},
booktitle = {OFC/NFOEC},
author = {{Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), Anaheim, CA, USA, March 17-21, 2013}
}
@inproceedings{conf/sisy/SosicS13,
title = {Resolving poor TCP performance on high-speed long distance links - Overview and comparison of BIC, CUBIC and Hybla.},
year = {2013},
booktitle = {SISY},
author = {{Marko Sosic} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {IEEE 11th International Symposium on Intelligent Systems and Informatics, SISY 2013, Subotica, Serbia, September 26-28, 2013}
}
@article{journals/jssc/LiLUAMS13,
title = {High-Throughput Signal Component Separator for Asymmetric Multi-Level Outphasing Power Amplifiers.},
year = {2013},
journal = {IEEE J. Solid State Circuits},
author = {{Yan Li 029} and {Zhipeng Li} and {Oguzhan Uyar} and {Yehuda Avniel} and {Alexandre Megretski} and {Vladimir Stojanovic}}
}
@article{journals/tcas/ChenLSCS13,
title = {Energy-Aware Design of Compressed Sensing Systems for Wireless Sensors Under Performance and Reliability Constraints.},
year = {2013},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Fred Chen} and {Fabian Lim} and {Omid Salehi-Abari} and {Anantha P. Chandrakasan} and {Vladimir Stojanovic}}
}
@article{journals/tcas/Salehi-AbariLCS13,
title = {Why Analog-to-Information Converters Suffer in High-Bandwidth Sparse Signal Applications.},
year = {2013},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Omid Salehi-Abari} and {Fabian Lim} and {Fred Chen} and {Vladimir Stojanovic}}
}
@article{journals/tsp/LimS13,
title = {On U-Statistics and Compressed Sensing I: Non-Asymptotic Average-Case Analysis.},
year = {2013},
journal = {IEEE Trans. Signal Process.},
author = {{Fabian Lim} and {Vladimir Marko Stojanovic}}
}
@article{journals/tsp/LimS13a,
title = {On U-Statistics and Compressed Sensing II: Non-Asymptotic Worst-Case Analysis.},
year = {2013},
journal = {IEEE Trans. Signal Process.},
author = {{Fabian Lim} and {Vladimir Marko Stojanovic}}
}
@inproceedings{conf/esscirc/LeeWACSSA14,
title = {A 45nm 1.3GHz 16.7 double-precision GFLOPS/W RISC-V processor with vector accelerators.},
year = {2014},
booktitle = {ESSCIRC},
author = {{Yunsup Lee} and {Andrew Waterman} and {Rimas Avizienis} and {Henry Cook} and {Chen Sun 003} and {Vladimir Stojanovic} and {Krste Asanovic}},
publisher = {IEEE},
booktitle = {ESSCIRC 2014 - 40th European Solid State Circuits Conference, Venice Lido, Italy, September 22-26, 2014}
}
@inproceedings{conf/icisp/MihajlovicJMTOTSM14,
title = {Serbia Forum - Digital Cultural Heritage Portal.},
year = {2014},
booktitle = {ICISP},
author = {{Aleksandar Mihajlovic} and {Vladisav Jelisavcic} and {Bojan Marinkovic} and {Milan Todorovic} and {Zoran Ognjanovic} and {Sinisa Tomovic} and {Vladimir Stojanovic} and {Veljko Milutinovic}},
publisher = {Springer},
booktitle = {Image and Signal Processing - 6th International Conference, ICISP 2014, Cherbourg, France, June 30 - July 2, 2014. Proceedings}
}
@inproceedings{conf/isscc/AbariHHAKCS14,
title = {27.4 A 0.75-million-point fourier-transform chip for frequency-sparse signals.},
year = {2014},
booktitle = {ISSCC},
author = {{Omid Abari} and {Ezzeldin Hamed} and {Haitham Hassanieh} and {Abhinav Agarwal} and {Dina Katabi} and {Anantha P. Chandrakasan} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {2014 IEEE International Conference on Solid-State Circuits Conference, ISSCC 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}
}
@inproceedings{conf/isscc/JiangJMSL14,
title = {F1: Digitally assisted analog and analog-assisted digital in high-performance scaled CMOS process.},
year = {2014},
booktitle = {ISSCC},
author = {{Xicheng Jiang} and {Piero Malcovati} and {Vladimir Stojanovic} and {Tetsuya Lizuka}},
publisher = {IEEE},
booktitle = {2014 IEEE International Conference on Solid-State Circuits Conference, ISSCC 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}
}
@inproceedings{conf/nanoarch/DuttaS14,
title = {Floating-point unit design with nano-electro-mechanical (NEM) relays.},
year = {2014},
booktitle = {NANOARCH},
author = {{Sumit Dutta} and {Vladimir Stojanovic}},
publisher = {IEEE Computer Society/ACM},
booktitle = {IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2014, Paris, France, July 8-10, 2014}
}
@inproceedings{conf/ofc/WadeSOSKMGRSP14,
title = {Energy-efficient active photonics in a zero-change, state-of-the-art CMOS process.},
year = {2014},
booktitle = {OFC},
author = {{Mark T. Wade} and {Jeffrey M. Shainline} and {Jason S. Orcutt} and {Chen Sun 003} and {Rajesh Kumar} and {Benjamin Moss} and {Michael Georgas} and {Rajeev J. Ram} and {Vladimir Stojanovic} and {Milos A. Popovic}},
publisher = {IEEE},
booktitle = {Optical Fiber Communications Conference and Exhibition, OFC 2014, San Francisco, CA, USA, March 9-13, 2014}
}
@inproceedings{conf/vlsic/GeorgasMSSOWCNL14,
title = {A monolithically-integrated optical transmitter and receiver in a zero-change 45nm SOI process.},
year = {2014},
booktitle = {VLSIC},
author = {{Michael Georgas} and {Benjamin Moss} and {Chen Sun 003} and {Jeffrey Shainline} and {Jason Orcutt} and {Mark T. Wade} and {Yu-Hsin Chen} and {Kareem Nammari} and {Jonathan C. Leu} and {Aravind Srinivasan} and {Rajeev J. Ram} and {Milos A. Popovic} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {Symposium on VLSI Circuits, VLSIC 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}
}
@article{journals/cssp/StojanovicF14,
title = {Adaptive Input Design for Identification of Output Error Model with Constrained Output.},
year = {2014},
journal = {Circuits Syst. Signal Process.},
author = {{Vladimir Stojanovic} and {Vojislav Filipovic}}
}
@article{journals/tcas/SuleimanSS14,
title = {Model Predictive Control Equalization for High-Speed I/O Links.},
year = {2014},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Amr Suleiman} and {Ranko Sredojevic} and {Vladimir Stojanovic}}
}
@inproceedings{conf/esscirc/SettaluriLMTSMS15,
title = {Demonstration of an optical chip-to-chip link in a 3D integrated electronic-photonic platform.},
year = {2015},
booktitle = {ESSCIRC},
author = {{Krishna T. Settaluri} and {Sen Lin} and {Sajjad Moazeni} and {Erman Timurdogan} and {Chen Sun 003} and {Michele Moresco} and {Zhan Su 001} and {Yu-Hsin Chen} and {Gerald Leake} and {Douglas LaTulipe} and {Colin McDonough} and {Jeremiah Hebding} and {Douglas Coolbaugh} and {Michael Watts} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {ESSCIRC Conference 2015 - 41st European Solid-State Circuits Conference, Graz, Austria, September 14-18, 2015}
}
@inproceedings{conf/ispass/PapamichaelCCCH15,
title = {DELPHI: a framework for RTL-based architecture design evaluation using DSENT models.},
year = {2015},
booktitle = {ISPASS},
author = {{Michael Papamichael} and {Cagla Cakir} and {Chen Sun 003} and {Chia-Hsin Owen Chen} and {James C. Hoe} and {Ken Mai} and {Li-Shiuan Peh} and {Vladimir Stojanovic}},
publisher = {IEEE Computer Society},
booktitle = {2015 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2015, Philadelphia, PA, USA, March 29-31, 2015}
}
@inproceedings{conf/ofc/Timurdogan0SLMS15,
title = {An ultra low power 3D integrated intra-chip silicon electronic-photonic link.},
year = {2015},
booktitle = {OFC},
author = {{Erman Timurdogan} and {Zhan Su 001} and {Krishna T. Settaluri} and {Sen Lin} and {Sajjad Moazeni} and {Chen Sun 003} and {Gerald Leake} and {Douglas D. Coolbaugh} and {Benjamin R. Moss} and {Michele Moresco} and {Vladimir Stojanovic} and {Michael R. Watts}},
publisher = {IEEE},
booktitle = {Optical Fiber Communications Conference and Exhibition, OFC 2015, Los Angeles, CA, USA, March 22-26, 2015}
}
@inproceedings{conf/vlsic/SunWGLAMKAPRPS15,
title = {A 45nm SOI monolithic photonics chip-to-chip link with bit-statistics-based resonant microring thermal tuning.},
year = {2015},
booktitle = {VLSIC},
author = {{Chen Sun 003} and {Mark T. Wade} and {Michael Georgas} and {Sen Lin} and {Luca Alloatti} and {Benjamin Moss} and {Rajesh Kumar} and {Amir Atabaki} and {Fabio Pavanello} and {Rajeev J. Ram} and {Milos A. Popovic} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {Symposium on VLSI Circuits, VLSIC 2015, Kyoto, Japan, June 17-19, 2015}
}
@inproceedings{conf/vlsic/YueSMALMBS15,
title = {Circuits evening panel discussion 1: Is university circuit design research and education keeping up with industry needs?},
year = {2015},
booktitle = {VLSIC},
author = {{P. Yue} and {Bing J. Sheu} and {A. Matsuzawa} and {K. Asada} and {L. Loh} and {Kofi A. A. Makinwa} and {Shekhar Borkar} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {Symposium on VLSI Circuits, VLSIC 2015, Kyoto, Japan, June 17-19, 2015}
}
@article{journals/cnsns/Stojanovic15,
title = {Geometrically nonlinear vibrations of beams supported by a nonlinear elastic foundation with variable discontinuity.},
year = {2015},
journal = {Commun. Nonlinear Sci. Numer. Simul.},
author = {{Vladimir Stojanovic}}
}
@article{journals/corr/TanovicMLSO15,
title = {Baseband Equivalent Models Resulting From Dynamic Continuous-Time Perturbations In Phase-Amplitude Modulation-Demodulation Schemes (Expanded version).},
year = {2015},
journal = {CoRR},
author = {{Omer Tanovic} and {Alexandre Megretski} and {Yan Li 029} and {Vladimir Marko Stojanovic} and {Mitra Osqui}}
}
@article{journals/jssc/SunGOMCSWMNTMTS15,
title = {A Monolithically-Integrated Chip-to-Chip Optical Link in Bulk CMOS.},
year = {2015},
journal = {IEEE J. Solid State Circuits},
author = {{Chen Sun 003} and {Michael Georgas} and {Jason Orcutt} and {Benjamin Moss} and {Yu-Hsin Chen} and {Jeffrey Shainline} and {Mark T. Wade} and {Karan Mehta 002} and {Kareem Nammari} and {Erman Timurdogan} and {Daniel L. Miller} and {Ofer Tehar-Zahav} and {Zvi Sternberg} and {Jonathan C. Leu} and {Johanna Chong} and {Reha Bafrali} and {Gurtej Sandhu} and {Michael Watts} and {Roy Meade} and {Milos A. Popovic} and {Rajeev J. Ram} and {Vladimir Stojanovic}}
}
@article{journals/nature/SunWLOAGWSALMKP15,
title = {Single-chip microprocessor that communicates directly using light.},
year = {2015},
journal = {Nat.},
author = {{Chen Sun 003} and {Mark T. Wade} and {Yunsup Lee} and {Jason S. Orcutt} and {Luca Alloatti} and {Michael Georgas} and {Andrew Waterman} and {Jeffrey M. Shainline} and {Rimas Avizienis} and {Sen Lin} and {Benjamin Moss} and {Rajesh Kumar} and {Fabio Pavanello} and {Amir H. Atabaki} and {Henry Cook} and {Albert J. Ou} and {Jonathan C. Leu} and {Yu-Hsin Chen} and {Krste Asanovic} and {Rajeev J. Ram} and {Milos A. Popovic} and {Vladimir Marko Stojanovic}}
}
@inproceedings{conf/asscc/KimBS16,
title = {A model predictive control equalization transmitter for asymmetric interfaces in 28nm FDSOI.},
year = {2016},
booktitle = {A-SSCC},
author = {{Taehwan Kim} and {Pavan Bhargava} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {IEEE Asian Solid-State Circuits Conference, A-SSCC 2016, Toyama, Japan, November 7-9, 2016}
}
@inproceedings{conf/cdc/TanovicMLSO16,
title = {Discrete-time models resulting from dynamic continuous-time perturbations in phase-amplitude modulation-demodulation schemes.},
year = {2016},
booktitle = {CDC},
author = {{Omer Tanovic} and {Alexandre Megretski} and {Yan Li 029} and {Vladimir Marko Stojanovic} and {Mitra Osqui}},
publisher = {IEEE},
booktitle = {55th IEEE Conference on Decision and Control, CDC 2016, Las Vegas, NV, USA, December 12-14, 2016}
}
@inproceedings{conf/esscirc/MehtaSWLPS16,
title = {A 12Gb/s, 8.6µApp input sensitivity, monolithic-integrated fully differential optical receiver in CMOS 45nm SOI process.},
year = {2016},
booktitle = {ESSCIRC},
author = {{Nandish Mehta} and {Chen Sun 003} and {Mark T. Wade} and {Sen Lin} and {Milos A. Popovic} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference, Lausanne, Switzerland, September 12-15, 2016}
}
@inproceedings{conf/ofc/PavanelloAWANMB16,
title = {Depletion-based optical modulators in a bulk 65 nm CMOS platform.},
year = {2016},
booktitle = {OFC},
author = {{Fabio Pavanello} and {Amir Atabaki} and {Mark T. Wade} and {Luca Alloatti} and {Jelena Notaros} and {Sajjad Moazeni} and {Christopher Baiocco} and {D. Coleman} and {Douglas Coolbaugh} and {Vladimir Stojanovic} and {Rajeev J. Ram} and {Milos A. Popovic}},
publisher = {IEEE},
booktitle = {Optical Fiber Communications Conference and Exhibition, OFC 2016, Anaheim, CA, USA, March 20-24, 2016}
}
@article{journals/jfi/StojanovicN16,
title = {Robust identification of OE model with constrained output using optimal input design.},
year = {2016},
journal = {J. Frankl. Inst.},
author = {{Vladimir Stojanovic} and {Novak Nedic}}
}
@article{journals/jota/StojanovicN16,
title = {A Nature Inspired Parameter Tuning Approach to Cascade Control for Hydraulically Driven Parallel Robot Platform.},
year = {2016},
journal = {J. Optim. Theory Appl.},
author = {{Vladimir Stojanovic} and {Novak Nedic}}
}
@article{journals/jssc/SunWGLAMKAPSORP16,
title = {A 45 nm CMOS-SOI Monolithic Photonics Platform With Bit-Statistics-Based Resonant Microring Thermal Tuning.},
year = {2016},
journal = {IEEE J. Solid State Circuits},
author = {{Chen Sun 003} and {Mark T. Wade} and {Michael Georgas} and {Sen Lin} and {Luca Alloatti} and {Benjamin Moss} and {Rajesh Kumar} and {Amir H. Atabaki} and {Fabio Pavanello} and {Jeffrey Shainline} and {Jason Orcutt} and {Rajeev J. Ram} and {Milos A. Popovic} and {Vladimir Stojanovic}}
}
@inproceedings{conf/isscc/MoazeniLWARPS17,
title = {29.3 A 40Gb/s PAM-4 transmitter based on a ring-resonator optical DAC in 45nm SOI CMOS.},
year = {2017},
booktitle = {ISSCC},
author = {{Sajjad Moazeni} and {Sen Lin} and {Mark T. Wade} and {Luca Alloatti} and {Rajeev J. Ram} and {Milos A. Popovic} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {2017 IEEE International Solid-State Circuits Conference, ISSCC 2017, San Francisco, CA, USA, February 5-9, 2017}
}
@inproceedings{conf/ofc/SunWLOAGWSALMKP17,
title = {Microprocessor chip with photonic I/O.},
year = {2017},
booktitle = {OFC},
author = {{Chen Sun 003} and {Mark T. Wade} and {Yunsup Lee} and {Jason S. Orcutt} and {Luca Alloatti} and {Michael S. Georgas} and {Andrew S. Waterman} and {Jeffrey M. Shainline} and {Rimas R. Avizienis} and {Sen Lin} and {Benjamin R. Moss} and {Rajesh Kumar} and {Fabio Pavanello} and {Amir H. Atabaki} and {Henry M. Cook} and {Albert J. Ou} and {Jonathan C. Leu} and {Yu-Hsin Chen} and {Krste Asanovic} and {Rajeev J. Ram} and {Milos A. Popovic} and {Vladimir Marko Stojanovic}},
publisher = {IEEE},
booktitle = {Optical Fiber Communications Conference and Exhibition, OFC 2017, Los Angeles, CA, USA, March 19-23, 2017}
}
@article{journals/corr/abs-1712-01084,
title = {Structured Deep Neural Network Pruning via Matrix Pivoting.},
year = {2017},
journal = {CoRR},
author = {{Ranko Sredojevic} and {Shaoyi Cheng} and {Lazar Supic} and {Rawan Naous} and {Vladimir Stojanovic}}
}
@article{journals/jssc/MoazeniLWARPS17,
title = {A 40-Gb/s PAM-4 Transmitter Based on a Ring-Resonator Optical DAC in 45-nm SOI CMOS.},
year = {2017},
journal = {IEEE J. Solid State Circuits},
author = {{Sajjad Moazeni} and {Sen Lin} and {Mark T. Wade} and {Luca Alloatti} and {Rajeev J. Ram} and {Milos A. Popovic} and {Vladimir Stojanovic}}
}
@article{journals/tcas/SettaluriLYS17,
title = {First Principles Optimization of Opto-Electronic Communication Links.},
year = {2017},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Krishna T. Settaluri} and {Christopher Lalau-Keraly} and {Eli Yablonovitch} and {Vladimir Stojanovic}}
}
@inproceedings{conf/ecoc/WadeDFBFOMYRPS018,
title = {A Bandwidth-Dense, Low Power Electronic-Photonic Platform and Architecture for Multi-Tbps Optical I/O.},
year = {2018},
booktitle = {ECOC},
author = {{Mark T. Wade} and {Michael L. Davenport} and {Marc De Cea Falco} and {Pavan Bhargava} and {John Michael Fini} and {Derek Van Orden} and {Roy Meade} and {Evelina Yeung} and {Rajeev R. Ram} and {Milos A. Popovic} and {Vladimir Stojanovic} and {Chen Sun 003}},
publisher = {IEEE},
booktitle = {European Conference on Optical Communication, ECOC 2018, Rome, Italy, September 23-27, 2018}
}
@inproceedings{conf/icassp/KimBS18,
title = {Optimal Spectral Estimation and System Trade-Off in Long-Distance Frequency-Modulated Continuous-Wave Lidar.},
year = {2018},
booktitle = {ICASSP},
author = {{Taehwan Kim} and {Pavan Bhargava} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {2018 IEEE International Conference on Acoustics, Speech and Signal Processing, ICASSP 2018, Calgary, AB, Canada, April 15-20, 2018}
}
@inproceedings{conf/ofc/AtabakiMPGNAWSK18,
title = {Monolithic Optical Transceivers in 65 nm Bulk CMOS.},
year = {2018},
booktitle = {OFC},
author = {{Amir H. Atabaki} and {Sajjad Moazeni} and {Fabio Pavanello} and {Hayk Gevorgyan} and {Jelena Notaros} and {Luca Alloatti} and {Mark T. Wade} and {C. Sun} and {Seth A. Kruger} and {Kenaish A. Qubaisi} and {Imbert Wang} and {Bohan Zhang} and {Anatoly Khilo} and {Christopher Baiocco} and {Milos A. Popovic} and {Vladimir Stojanovic} and {Rajeev J. Ram}},
publisher = {IEEE},
booktitle = {Optical Fiber Communications Conference and Exposition, OFC 2018, San Diego, CA, USA, March 11-15, 2018}
}
@inproceedings{conf/ofc/MoazeniHSWSWS18,
title = {Microsecond Optical Switching Network of Processor SoCs with Optical I/O.},
year = {2018},
booktitle = {OFC},
author = {{Sajjad Moazeni} and {Johannes Henriksson} and {Tae Joon Seok} and {Mark T. Wade} and {C. Sun} and {Ming C. Wu} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {Optical Fiber Communications Conference and Exposition, OFC 2018, San Diego, CA, USA, March 11-15, 2018}
}
@inproceedings{conf/vlsic/LinMS18,
title = {A 40GB/S Optical NRZ Transmitter Based on Monolithic Microring Modulators in 45NM SOI CMOS.},
year = {2018},
booktitle = {VLSI Circuits},
author = {{Sen Lin} and {Sajjad Moazeni} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {2018 IEEE Symposium on VLSI Circuits, Honolulu, HI, USA, June 18-22, 2018}
}
@inproceedings{conf/vlsic/MehtaHS18,
title = {A 1MW -101DB THD+N Class-AB High-Fidelity Headphone Driver in 65NM CMOS.},
year = {2018},
booktitle = {VLSI Circuits},
author = {{Nandish Mehta} and {Johan H. Huijsing} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {2018 IEEE Symposium on VLSI Circuits, Honolulu, HI, USA, June 18-22, 2018}
}
@article{journals/corr/abs-1805-12085,
title = {MPDCompress - Matrix Permutation Decomposition Algorithm for Deep Neural Network Compression.},
year = {2018},
journal = {CoRR},
author = {{Lazar Supic} and {Rawan Naous} and {Ranko Sredojevic} and {Aleksandra Faust} and {Vladimir Stojanovic}}
}
@article{journals/tsp/TanovicMLSO18,
title = {Equivalent Baseband Models and Corresponding Digital Predistortion for Compensating Dynamic Passband Nonlinearities in Phase-Amplitude Modulation-Demodulation Schemes.},
year = {2018},
journal = {IEEE Trans. Signal Process.},
author = {{Omer Tanovic} and {Alexandre Megretski} and {Yan Li 029} and {Vladimir Stojanovic} and {Mitra Osqui}}
}
@inproceedings{conf/dac/HakhamaneshiWAS19,
title = {Analog Circuit Generator based on Deep Neural Network enhanced Combinatorial Optimization.},
year = {2019},
booktitle = {DAC},
author = {{Kourosh Hakhamaneshi} and {Nick Werblun} and {Pieter Abbeel} and {Vladimir Stojanovic}},
publisher = {ACM},
booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, DAC 2019, Las Vegas, NV, USA, June 02-06, 2019}
}
@inproceedings{conf/essderc/MehtaBS19,
title = {Design and Characterization of Monolithic Microring Resonator based Photodetector in 45nm SOI CMOS.},
year = {2019},
booktitle = {ESSDERC},
author = {{Nandish Mehta} and {Sidney Buchbinder} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {49th European Solid-State Device Research Conference, ESSDERC 2019, Cracow, Poland, September 23-26, 2019}
}
@inproceedings{conf/iccad/HakhamaneshiWAS19,
title = {BagNet: Berkeley Analog Generator with Layout Optimizer Boosted with Deep Neural Networks.},
year = {2019},
booktitle = {ICCAD},
author = {{Kourosh Hakhamaneshi} and {Nick Werblun} and {Pieter Abbeel} and {Vladimir Stojanovic}},
publisher = {ACM},
booktitle = {Proceedings of the International Conference on Computer-Aided Design, ICCAD 2019, Westminster, CO, USA, November 4-7, 2019}
}
@inproceedings{conf/isscc/KimBPNYTBFKNTWS19,
title = {A Single-Chip Optical Phased Array in a 3D-Integrated Silicon Photonics/65nm CMOS Technology.},
year = {2019},
booktitle = {ISSCC},
author = {{Taehwan Kim} and {Pavan Bhargava} and {Christopher V. Poulton} and {Jelena Notaros} and {Ami Yaacobi} and {Erman Timurdogan} and {Christopher Baiocco} and {Nicholas Fahrenkopf} and {Seth Kruger} and {Tat Ngai} and {Yukta Timalsina} and {Michael R. Watts} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {IEEE International Solid- State Circuits Conference, ISSCC 2019, San Francisco, CA, USA, February 17-21, 2019}
}
@inproceedings{conf/vlsic/BhargavaKPNYTBF19,
title = {Fully Integrated Coherent LiDAR in 3D-Integrated Silicon Photonics/65nm CMOS.},
year = {2019},
booktitle = {VLSI Circuits},
author = {{Pavan Bhargava} and {Taehwan Kim} and {Christopher V. Poulton} and {Jelena Notaros} and {Ami Yaacobi} and {Erman Timurdogan} and {Christopher Baiocco} and {Nicholas Fahrenkopf} and {Seth Kruger} and {Tat Ngai} and {Yukta Timalsina} and {Michael R. Watts} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019}
}
@inproceedings{conf/vlsic/MehtaLYMS19,
title = {A Laser-forwarded Coherent 10Gb/s BPSK Transceiver using Monolithic Microring Resonators in 45nm SOI CMOS.},
year = {2019},
booktitle = {VLSI Circuits},
author = {{Nandish Mehta} and {Sen Lin} and {Bozhi Yin} and {Sajjad Moazeni} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019}
}
@article{journals/corr/abs-1908-02239,
title = {Tuning Algorithms and Generators for Efficient Edge Inference.},
year = {2019},
journal = {CoRR},
author = {{Rawan Naous} and {Lazar Supic} and {Yoonhwan Kang} and {Ranko Seradejovic} and {Anish Singhani} and {Vladimir Stojanovic}}
}
@article{journals/jssc/KimBPNYTBFKNTWS19,
title = {A Single-Chip Optical Phased Array in a Wafer-Scale Silicon Photonics/CMOS 3D-Integration Platform.},
year = {2019},
journal = {IEEE J. Solid State Circuits},
author = {{Taehwan Kim} and {Pavan Bhargava} and {Christopher V. Poulton} and {Jelena Notaros} and {Ami Yaacobi} and {Erman Timurdogan} and {Christopher Baiocco} and {Nicholas Fahrenkopf} and {Seth Kruger} and {Tat Ngai} and {Yukta Timalsina} and {Michael R. Watts} and {Vladimir Stojanovic}}
}
@article{journals/jssc/MehtaHS19,
title = {A 1-mW Class-AB Amplifier With -101 dB THD+N for High-Fidelity 16 \$\\Omega\$ Headphones in 65-nm CMOS.},
year = {2019},
journal = {IEEE J. Solid State Circuits},
author = {{Nandish Mehta} and {Johan H. Huijsing} and {Vladimir Stojanovic}}
}
@article{journals/jssc/MehtaSWS19,
title = {A Differential Optical Receiver With Monolithic Split-Microring Photodetector.},
year = {2019},
journal = {IEEE J. Solid State Circuits},
author = {{Nandish Mehta} and {Chen Sun 003} and {Mark T. Wade} and {Vladimir Stojanovic}}
}
@article{journals/corr/abs-2002-07236,
title = {GACEM: Generalized Autoregressive Cross Entropy Method for Multi-Modal Black Box Constraint Satisfaction.},
year = {2020},
journal = {CoRR},
author = {{Kourosh Hakhamaneshi} and {Keertana Settaluri} and {Pieter Abbeel} and {Vladimir Stojanovic}}
}
@article{journals/ijon/ChenZSZZ20,
title = {Event-based fuzzy control for T-S fuzzy networked systems with various data missing.},
year = {2020},
journal = {Neurocomputing},
author = {{Ziran Chen} and {Baoyong Zhang} and {Vladimir Stojanovic} and {Yijun Zhang 001} and {Zhengqiang Zhang}}
}
@article{journals/jfi/TaoWCSY20,
title = {An unsupervised fault diagnosis method for rolling bearing using STFT and generative neural networks.},
year = {2020},
journal = {J. Frankl. Inst.},
author = {{Hongfeng Tao} and {Peng Wang} and {Yiyang Chen} and {Vladimir Stojanovic} and {Huizhong Yang}}
}
@article{journals/jssc/MehtaLYMS20,
title = {A Laser-Forwarded Coherent Transceiver in 45-nm SOI CMOS Using Monolithic Microring Resonators.},
year = {2020},
journal = {IEEE J. Solid State Circuits},
author = {{Nandish Mehta} and {Sen Lin} and {Bozhi Yin} and {Sajjad Moazeni} and {Vladimir Stojanovic}}
}
@article{journals/micro/WadeMRRSSOZSSOA20,
title = {TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Package Optical I/O.},
year = {2020},
journal = {IEEE Micro},
author = {{Mark T. Wade} and {Roy Meade} and {Chandru Ramamurthy} and {Michael Rust} and {Forrest Sedgwick} and {Vladimir Stojanovic} and {Derek Van Orden} and {Chong Zhang} and {Chen Sun 003} and {Sergey Y. Shumarayev} and {Conor O'Keeffe} and {Erik Anderson} and {Tim T. Hoang} and {David Kehlet} and {Ravi V. Mahajan} and {Matthew T. Guzy} and {Allen Chan} and {Tina Tran} and {Shahab Ardalan} and {Pavan Bhargava} and {Sidney Buchbinder} and {Michael L. Davenport} and {John Michael Fini} and {Haiwei Lu} and {Chen Li 044}}
}
@article{journals/symmetry/JovanovicNRSSSR20,
title = {A Multicriteria Decision Aid-Based Model for Measuring the Efficiency of Business-Friendly Cities.},
year = {2020},
journal = {Symmetry},
author = {{Mihailo Jovanovic} and {Slobodan Nedeljkovic} and {Milan Randelovic} and {Gordana Savic} and {Vladica S. Stojanovic} and {Vladimir Stojanovic} and {Dragan M. Randelovic}}
}
@inproceedings{conf/cicc/AdamopoulosBZBG21,
title = {Fully Integrated Electronic-Photonic Sensor for Label-Free Refractive Index Sensing in Advanced Zero-Change CMOS-SOI Process.},
year = {2021},
booktitle = {CICC},
author = {{Christos G. Adamopoulos} and {Sidney Buchbinder} and {Panagiotis G. Zarkos} and {Pavan Bhargava} and {Asmaysinh Gharia} and {Ali M. Niknejad} and {Mekhail Anwar} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {IEEE Custom Integrated Circuits Conference, CICC 2021, Austin, TX, USA, April 25-30, 2021}
}
@inproceedings{conf/embc/RabbaniNGPZRSMA21,
title = {Towards an Implantable Fluorescence Image Sensor for Real-Time Monitoring of Immune Response in Cancer Therapy.},
year = {2021},
booktitle = {EMBC},
author = {{Rozhan Rabbani} and {Hossein Najafiaghdam} and {Mohammad Meraj Ghanbari} and {Efthymios P. Papageorgiou} and {Biqi Zhao} and {Micah Roschelle} and {Vladimir Stojanovic} and {Rikky Muller} and {Mekhail Anwar}},
publisher = {IEEE},
booktitle = {43rd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, EMBC 2021, Mexico, November 1-5, 2021}
}
@inproceedings{conf/essderc/YinGOKPS21,
title = {Electronic-Photonic Cryogenic Egress Link.},
year = {2021},
booktitle = {ESSDERC},
author = {{Bozhi Yin} and {Hayk Gevorgyan} and {Deniz Onural} and {Anatol Khilo} and {Milos A. Popovic} and {Vladimir Marko Stojanovic}},
publisher = {IEEE},
booktitle = {51st IEEE European Solid-State Device Research Conference, ESSDERC 2021, Grenoble, France, September 13-22, 2021}
}
@inproceedings{conf/ofc/GevorgyanKOOYWS21,
title = {Cryo-Compatible, Silicon Spoked-Ring Modulator in a 45nm CMOS Platform for 4K-to-Room-Temperature Optical Links.},
year = {2021},
booktitle = {OFC},
author = {{Hayk Gevorgyan} and {Anatol Khilo} and {Derek Van Orden} and {Deniz Onural} and {Bozhi Yin} and {Mark T. Wade} and {Vladimir Marko Stojanovic} and {Milos A. Popovic}},
publisher = {IEEE},
booktitle = {Optical Fiber Communications Conference and Exhibition, OFC 2021, San Francisco, CA, USA, June 6-10, 2021}
}
@inproceedings{conf/ofc/GevorgyanKWSP21,
title = {MOSCAP Ring Modulator with 1.5 µm Radius, 8.5 THz FSR and 30 GHz/V Shift Efficiency in a 45 nm SOI CMOS Process.},
year = {2021},
booktitle = {OFC},
author = {{Hayk Gevorgyan} and {Anatol Khilo} and {Mark T. Wade} and {Vladimir Marko Stojanovic} and {Milos A. Popovic}},
publisher = {IEEE},
booktitle = {Optical Fiber Communications Conference and Exhibition, OFC 2021, San Francisco, CA, USA, June 6-10, 2021}
}
@inproceedings{conf/ofc/HosseiniKSCSTKC21,
title = {8 Tbps Co-Packaged FPGA and Silicon Photonics Optical IO.},
year = {2021},
booktitle = {OFC},
author = {{Kaveh Hosseini} and {Edwin Kok} and {Sergey Y. Shumarayev} and {Chia-Pin Chiu} and {Arnab Sarkar} and {Asako Toda} and {Yanjing Ke} and {Allen Chan} and {Daniel Jeong} and {Mason Zhang} and {Sangeeta Raman} and {Thungoc Tran} and {Kumar Abhishek Singh} and {Pavan Bhargava} and {Chong Zhang} and {Haiwei Lu} and {Ravi Mahajan} and {Xiaoqian Li} and {Nitin Deshpande} and {Conor O'Keeffe} and {Tim Tri Hoang} and {Uma Krishnamoorthy} and {Chen Sun 003} and {Roy Meade} and {Vladimir Stojanovic} and {Mark Wade}},
publisher = {IEEE},
booktitle = {Optical Fiber Communications Conference and Exhibition, OFC 2021, San Francisco, CA, USA, June 6-10, 2021}
}
@inproceedings{conf/ofc/WadeAABBBCCEFJK21,
title = {An Error-free 1 Tbps WDM Optical I/O Chiplet and Multi-wavelength Multi-port Laser.},
year = {2021},
booktitle = {OFC},
author = {{Mark T. Wade} and {Erik Anderson} and {Shahab Ardalan} and {Woorham Bae} and {Behrooz Beheshtian} and {Sidney Buchbinder} and {Ken Chang} and {Paulo Chao} and {Haritha Eachempatti} and {John Frey} and {Eric Jan} and {Austin Katzin} and {Anatoly Khilo} and {Derek Kita} and {Uma Krishnamoorthy} and {Chen Li 044} and {Haiwei Lu} and {Fernando Luna} and {Christopher Madden} and {Lynne Okada} and {Mukundrai Patel} and {Chandru Ramamurthy} and {Manan Raval} and {Radek Roucka} and {Kit Robberson} and {Michael Rust} and {Derek Van Orden} and {Ron Zeng} and {Mason Zhang} and {Vladimir Stojanovic} and {Forrest Sedgwick} and {Roy Meade} and {Norman Chan} and {John Michael Fini} and {Byungchae Kim} and {Songtao Liu} and {Chong Zhang} and {Daniel Jeong} and {Pavan Bhargava} and {Matthew Sysak} and {Chen Sun 003}},
publisher = {IEEE},
booktitle = {Optical Fiber Communications Conference and Exhibition, OFC 2021, San Francisco, CA, USA, June 6-10, 2021}
}
@inproceedings{conf/vlsic/WadeJKZBZBOARAK21,
title = {Monolithic Microring-based WDM Optical I/O for Heterogeneous Computing.},
year = {2021},
booktitle = {VLSI Circuits},
author = {{Mark Wade} and {Daniel Jeong} and {Byungchae Kim} and {Mason Zhang} and {Woorham Bae} and {Chong Zhang} and {Pavan Bhargava} and {Derek Van Orden} and {Shahab Ardalan} and {Chandarasekaran Ramamurthy} and {Erik Anderson} and {Austin Katzin} and {Haiwei Lu} and {Sidney Buchbinder} and {Behrooz Beheshtian} and {Anatoly Khilo} and {Michael Rust} and {Chen Li 044} and {Forrest Sedgwick} and {John Michael Fini} and {Roy Meade} and {Vladimir Stojanovic} and {Chen Sun 003}},
publisher = {IEEE},
booktitle = {2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021}
}
@inproceedings{conf/vlsic/ZarkosBAMHWBS21,
title = {Fully Integrated Electronic-Photonic Ultrasound Receiver Array for Endoscopic Imaging Applications in a Zero-Change 45nm CMOS-SOI Process.},
year = {2021},
booktitle = {VLSI Circuits},
author = {{Panagiotis G. Zarkos} and {Sidney Buchbinder} and {Christos G. Adamopoulos} and {Sarika Madhvapathy} and {Olivia Hsu} and {Jake Whinnery} and {Pavan Bhargava} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021}
}
@article{journals/chinaf/ZhangHSL021,
title = {Finite-time asynchronous dissipative filtering of conic-type nonlinear Markov jump systems.},
year = {2021},
journal = {Sci. China Inf. Sci.},
author = {{Xiang Zhang} and {Shuping He} and {Vladimir Stojanovic} and {Xiaoli Luan} and {Fei Liu 001}}
}
@article{journals/corr/abs-2106-00942,
title = {JUMBO: Scalable Multi-task Bayesian Optimization using Offline Data.},
year = {2021},
journal = {CoRR},
author = {{Kourosh Hakhamaneshi} and {Pieter Abbeel} and {Vladimir Stojanovic} and {Aditya Grover}}
}
@article{journals/mssp/TaoLPSY21,
title = {Robust PD-type iterative learning control for discrete systems with multiple time-delays subjected to polytopic uncertainty and restricted frequency-domain.},
year = {2021},
journal = {Multidimens. Syst. Signal Process.},
author = {{Hongfeng Tao} and {Xiaohui Li} and {Wojciech Paszke} and {Vladimir Stojanovic} and {Huizhong Yang}}
}
@inproceedings{conf/cicc/RabbaniNZZSMA22,
title = {A \$36\\times 40\$ Wireless Fluorescence Image Sensor for Real-Time Microscopy in Cancer Therapy.},
year = {2022},
booktitle = {CICC},
author = {{Rozhan Rabbani} and {Hossein Najafiaghdam} and {Biqi Zhao} and {Megan Zeng} and {Vladimir Stojanovic} and {Rikky Muller} and {Mekhail Anwar}},
publisher = {IEEE},
booktitle = {IEEE Custom Integrated Circuits Conference, CICC 2022, Newport Beach, CA, USA, April 24-27, 2022}
}
@inproceedings{conf/esscirc/KramnikWCRBZAKP22,
title = {Quantum-Correlated Photon-Pair Source with Integrated Feedback Control in 45 nm CMOS.},
year = {2022},
booktitle = {ESSCIRC},
author = {{Danielius Kramnik} and {Imbert Wang} and {Josep M. Fargas Cabanillas} and {Anirudh Ramesh} and {Sidney Buchbinder} and {Panagiotis G. Zarkos} and {Christos G. Adamopoulos} and {Prem Kumar} and {Milos A. Popovic} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {48th IEEE European Solid State Circuits Conference, ESSCIRC 2022, Milan, Italy, September 19-22, 2022}
}
@inproceedings{conf/vlsit/HosseiniKSJCKLR22,
title = {5.12 Tbps Co-Packaged FPGA and Silicon Photonics Interconnect I/O.},
year = {2022},
booktitle = {VLSI Technology and Circuits},
author = {{Kaveh Hosseini} and {Edwin Kok} and {Sergey Y. Shumarayev} and {Daniel Jeong} and {Allen Chan} and {Austin Katzin} and {Songtao Liu} and {Radek Roucka} and {Manan Raval} and {Minh Mac} and {Chia-Pin Chiu} and {Thungoc Tran} and {Kumar Abhishek Singh} and {Sangeeta Raman} and {Yanjing Ke} and {Chen Li 044} and {Li-Fan Yang} and {Paulo Chao} and {Haiwei Lu} and {Fernando Luna} and {Xiaoqian Li} and {Tim Tri Hoang} and {Arnab Sarkar} and {Asako Toda} and {Ravi Mahajan} and {Nitin Deshpande} and {Conor O'Keeffe} and {Uma Krishnamoorthy} and {Vladimir Stojanovic} and {Christopher Madden} and {Chong Zhang} and {Matthew Sysak} and {Pavan Bhargava} and {Chen Sun 003} and {Mark Wade}},
publisher = {IEEE},
booktitle = {IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}
}
@article{journals/amc/XinTSWSHP22,
title = {Online reinforcement learning multiplayer non-zero sum games of continuous-time Markov jump linear systems.},
year = {2022},
journal = {Appl. Math. Comput.},
author = {{Xilin Xin} and {Yidong Tu} and {Vladimir Stojanovic} and {Hai Wang 004} and {Kaibo Shi} and {Shuping He} and {Tianhong Pan}}
}
@article{journals/ijsysc/ChengWSLHS22,
title = {Dissipativity-based finite-time asynchronous output feedback control for wind turbine system via a hidden Markov model.},
year = {2022},
journal = {Int. J. Syst. Sci.},
author = {{Peng Cheng 010} and {Hai Wang 004} and {Vladimir Stojanovic} and {Fei Liu 001} and {Shuping He} and {Kaibo Shi}}
}
@article{journals/jfi/HeWSLL22,
title = {Finite-time control of discrete-time semi-Markov jump linear systems: A self-triggered MPC approach.},
year = {2022},
journal = {J. Frankl. Inst.},
author = {{Peng He} and {Jiwei Wen} and {Vladimir Stojanovic} and {Fei Liu 001} and {Xiaoli Luan}}
}
@article{journals/jfi/SongSSS22,
title = {Event-driven NN adaptive fixed-time control for nonlinear systems with guaranteed performance.},
year = {2022},
journal = {J. Frankl. Inst.},
author = {{Xiaona Song} and {Peng Sun} and {Shuai Song} and {Vladimir Stojanovic}}
}
@article{journals/tcyb/ChengHSLL22,
title = {Fuzzy Fault Detection for Markov Jump Systems With Partly Accessible Hidden Information: An Event-Triggered Approach.},
year = {2022},
journal = {IEEE Trans. Cybern.},
author = {{Peng Cheng 010} and {Shuping He} and {Vladimir Stojanovic} and {Xiaoli Luan} and {Fei Liu 001}}
}
@article{journals/tcyb/ChengWSHSLLS22,
title = {Asynchronous Fault Detection Observer for 2-D Markov Jump Systems.},
year = {2022},
journal = {IEEE Trans. Cybern.},
author = {{Peng Cheng 010} and {Hai Wang 004} and {Vladimir Stojanovic} and {Shuping He} and {Kaibo Shi} and {Xiaoli Luan} and {Fei Liu 001} and {Changyin Sun}}
}
@article{journals/tfs/ZhangWSCHLL22,
title = {Asynchronous Fault Detection for Interval Type-2 Fuzzy Nonhomogeneous Higher Level Markov Jump Systems With Uncertain Transition Probabilities.},
year = {2022},
journal = {IEEE Trans. Fuzzy Syst.},
author = {{Xiang Zhang} and {Hai Wang 004} and {Vladimir Stojanovic} and {Peng Cheng 010} and {Shuping He} and {Xiaoli Luan} and {Fei Liu 001}}
}
@inproceedings{conf/esscirc/WangSOBGPS23,
title = {A Monolithically Integrated Electronic-Photonic Front-end Utilizing Micro-ring Modulators for Large-Scale mm-wave Sensing.},
year = {2023},
booktitle = {ESSCIRC},
author = {{Ruocheng Wang} and {Manuj Singh} and {Deniz Onural} and {Sidney Buchbinder} and {Hayk Gevorgyan} and {Milos A. Popovic} and {Vladimir Stojanovic}},
publisher = {IEEE},
booktitle = {49th IEEE European Solid State Circuits Conference, ESSCIRC 2023, Lisbon, Portugal, September 11-14, 2023}
}
@inproceedings{conf/hotchips/WadeSSSTMS23,
title = {Driving Compute Scale-out Performance with Optical I/O Chiplets in Advanced System-in-Package Platforms.},
year = {2023},
booktitle = {HCS},
author = {{Mark Wade} and {Chen Sun 003} and {Matthew Sysak} and {Vladimir Stojanovic} and {Pooya Tadayon} and {Ravi Mahajan} and {Babak Sabi}},
publisher = {IEEE},
booktitle = {35th IEEE Hot Chips Symposium, HCS 2023, Palo Alto, CA, USA, August 27-29, 2023}
}
@article{journals/ijon/SongWS0S23,
title = {Bipartite synchronization for cooperative-competitive neural networks with reaction-diffusion terms via dual event-triggered mechanism.},
year = {2023},
journal = {Neurocomputing},
author = {{Xiaona Song} and {Nana Wu} and {Shuai Song} and {Yijun Zhang 001} and {Vladimir Stojanovic}}
}
@article{journals/jfi/TaoQCSC23,
title = {Unsupervised cross-domain rolling bearing fault diagnosis based on time-frequency information fusion.},
year = {2023},
journal = {J. Frankl. Inst.},
author = {{Hongfeng Tao} and {Jier Qiu} and {Yiyang Chen} and {Vladimir Stojanovic} and {Long Cheng}}
}
@article{journals/jfi/ZhangSSS23,
title = {Finite-Time sliding mode control for singularly perturbed PDE systems.},
year = {2023},
journal = {J. Frankl. Inst.},
author = {{Qiyuan Zhang} and {Xiaona Song} and {Shuai Song} and {Vladimir Stojanovic}}
}
@article{journals/jssc/ZarkosBAMHWBS23,
title = {Fully Integrated Electronic-Photonic Ultrasound Receiver Array for Endoscopic Applications in a Zero-Change 45-nm CMOS-SOI Process.},
year = {2023},
journal = {IEEE J. Solid State Circuits},
author = {{Panagiotis G. Zarkos} and {Sidney Buchbinder} and {Christos G. Adamopoulos} and {Sarika Madhvapathy} and {Olivia Hsu} and {Jake Whinnery} and {Pavan Bhargava} and {Vladimir Stojanovic}}
}
@article{journals/tinstmc/GuanZTCSP23,
title = {Feedback-aided PD-type iterative learning control for time-varying systems with non-uniform trial lengths.},
year = {2023},
journal = {Trans. Inst. Meas. Control},
author = {{Shanglei Guan} and {Zhihe Zhuang} and {Hongfeng Tao} and {Yiyang Chen} and {Vladimir Stojanovic} and {Wojciech Paszke}}
}
@article{journals/tsmc/ZhuangTCSP23,
title = {An Optimal Iterative Learning Control Approach for Linear Systems With Nonuniform Trial Lengths Under Input Constraints.},
year = {2023},
month = {June},
journal = {IEEE Trans. Syst. Man Cybern. Syst.},
author = {{Zhihe Zhuang} and {Hongfeng Tao} and {Yiyang Chen} and {Vladimir Stojanovic} and {Wojciech Paszke}}
}
@article{journals/isci/WanLSL23,
title = {Self-triggered finite-time control for discrete-time Markov jump systems.},
year = {2023},
month = {July},
journal = {Inf. Sci.},
author = {{Haiying Wan} and {Xiaoli Luan} and {Vladimir Stojanovic} and {Fei Liu 001}}
}
@article{journals/nca/SongSSS23,
title = {Quantized neural adaptive finite-time preassigned performance control for interconnected nonlinear systems.},
year = {2023},
month = {July},
journal = {Neural Comput. Appl.},
author = {{Xiaona Song} and {Peng Sun} and {Shuai Song} and {Vladimir Stojanovic}}
}
@article{journals/tcad/HakhamaneshiNPAS23,
title = {Pretraining Graph Neural Networks for Few-Shot Analog Circuit Modeling and Design.},
year = {2023},
month = {July},
journal = {IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.},
author = {{Kourosh Hakhamaneshi} and {Marcel Nassar} and {Mariano Phielipp} and {Pieter Abbeel} and {Vladimir Stojanovic}}
}
@article{journals/ijfs/SongSSS23,
title = {Improved Dynamic Event-Triggered Security Control for T-S Fuzzy LPV-PDE Systems via Pointwise Measurements and Point Control.},
year = {2023},
month = {November},
journal = {Int. J. Fuzzy Syst.},
author = {{Xiaona Song} and {Yulong Song} and {Vladimir Stojanovic} and {Shuai Song}}
}
@article{journals/npl/SongWSS23,
title = {Switching-Like Event-Triggered State Estimation for Reaction-Diffusion Neural Networks Against DoS Attacks.},
year = {2023},
month = {December},
journal = {Neural Process. Lett.},
author = {{Xiaona Song} and {Nana Wu} and {Shuai Song} and {Vladimir Stojanovic}}
}
@inproceedings{conf/isscc/RabbaniRGKVCSNSA24,
title = {17.3 A Fully Wireless, Miniaturized, Multicolor Fluorescence Image Sensor Implant for Real-Time Monitoring in Cancer Therapy.},
year = {2024},
booktitle = {ISSCC},
author = {{Rozhan Rabbani} and {Micah Roschelle} and {Surin Gweon} and {Rohan Kumar} and {Alec Vercruysse} and {Nam Woo Cho} and {Matthew H. Spitzer} and {Ali M. Niknejad} and {Vladimir Marko Stojanovic} and {Mekhail Anwar}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2024, San Francisco, CA, USA, February 18-22, 2024}
}
@article{journals/mcs/StojanovicDMP24,
title = {Vibrational analysis of a coupled damaged Timoshenko beam-arch mechanical system with von Kármán nonlinearities and layer discontinuity.},
year = {2024},
month = {April},
journal = {Math. Comput. Simul.},
author = {{Vladimir Stojanovic} and {Jian Deng} and {Dunja Milic} and {Marko D. Petkovic}}
}