Xi Li

Affiliations:
  • University of Science and Technology of China, Hefei, China


According to our database1, Xi Li authored at least 153 papers between 2003 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Algorithm/Hardware Co-Optimization for Sparsity-Aware SpMM Acceleration of GNNs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023

Enabling Fast and Memory-Efficient Acceleration for Pattern Matching Workloads: The Lightweight Automata Processing Engine.
IEEE Trans. Computers, April, 2023

NeuralMAE: Data-Efficient Neural Architecture Predictor with Masked Autoencoder.
Proceedings of the Pattern Recognition and Computer Vision - 6th Chinese Conference, 2023

FastRW: A Dataflow-Efficient and Memory-Aware Accelerator for Graph Random Walk on FPGAs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Work-in-Progress: NAPMAE: Generalized Data-Efficient Neural Architecture Predictor with Masked Autoencoder.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2023

2022
Heterogeneous computing on mobile GPU-FPGA cooperation platform.
Int. J. High Perform. Syst. Archit., 2022

Work-in-Progress: Scheduler for Collaborated FPGA-GPU-CPU Based on Intermediate Language.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2022

2021
SOLAR: Services-Oriented Deep Learning Architectures-Deep Learning as a Service.
IEEE Trans. Serv. Comput., 2021

Improving HW/SW Adaptability for Accelerating CNNs on FPGAs Through A Dynamic/Static Co-Reconfiguration Approach.
IEEE Trans. Parallel Distributed Syst., 2021

GenSeq+: A Scalable High-Performance Accelerator for Genome Sequencing.
IEEE ACM Trans. Comput. Biol. Bioinform., 2021

UH-JLS: A Parallel Ultra-High Throughput JPEG-LS Encoding Architecture for Lossless Image Compression.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021

2020
A Ubiquitous Machine Learning Accelerator With Automatic Parallelization on FPGA.
IEEE Trans. Parallel Distributed Syst., 2020

WooKong: A Ubiquitous Accelerator for Recommendation Algorithms With Custom Instruction Sets on FPGA.
IEEE Trans. Computers, 2020

WiderFrame: An Automatic Customization Framework for Building CNN Accelerators on FPGAs: Work-in-Progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2020

2019
DCW: A Reactive and Predictable Programming Framework for LET-Based Distributed Real-Time Systems.
ACM Trans. Design Autom. Electr. Syst., 2019

Power Optimization of WiFi Networks based on RSSI-awareness.
EAI Endorsed Trans. Mob. Commun. Appl., 2019

A Thread-Oriented Memory Resource Management Framework for Mobile Edge Computing.
IEEE Access, 2019

Accelerating Distributed Training in Heterogeneous Clusters via a Straggler-Aware Parameter Server.
Proceedings of the 21st IEEE International Conference on High Performance Computing and Communications; 17th IEEE International Conference on Smart City; 5th IEEE International Conference on Data Science and Systems, 2019

2018
Device-Customized Multi-Carrier Network Access on Commodity Smartphones.
IEEE/ACM Trans. Netw., 2018

MALOC: A Fully Pipelined FPGA Accelerator for Convolutional Neural Networks With All Layers Mapped on Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Refactoring Network Functions Modules to Reduce Latencies and Improve Fault Tolerance in NFV.
IEEE J. Sel. Areas Commun., 2018

Model checking of MARTE/CCSL time behaviors using timed I/O automata.
J. Syst. Archit., 2018

UniCNN: A Pipelined Accelerator Towards Uniformed Computing for CNNs.
Int. J. Parallel Program., 2018

Impacts of Memory Address Mapping Scheme on Reducing DRAM Self-Refresh Power for Mobile Computing Devices.
IEEE Access, 2018

Domino: Graph Processing Services on Energy-Efficient Hardware Accelerator.
Proceedings of the 2018 IEEE International Conference on Web Services, 2018

MuDBN: An Energy-Efficient and High-Performance Multi-FPGA Accelerator for Deep Belief Networks.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

Domino: An Asynchronous and Energy-efficient Accelerator for Graph Processing: (Abstract Only).
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

RTMUS<sup><i>RT</i></sup>: a real-time testbed for empirically comparing real-time multicore schedulers: work-in-progress.
Proceedings of the International Conference on Embedded Software, 2018

Delayed Wake-Up Mechanism Under Suspend Mode of Smartphone.
Proceedings of the Collaborative Computing: Networking, Applications and Worksharing, 2018

2017
A Classroom Scheduling Service for Smart Classes.
IEEE Trans. Serv. Comput., 2017

Service-Oriented Architecture on FPGA-Based MPSoC.
IEEE Trans. Parallel Distributed Syst., 2017

SuperMIC: Analyzing Large Biological Datasets in Bioinformatics with Maximal Information Coefficient.
IEEE ACM Trans. Comput. Biol. Bioinform., 2017

DLAU: A Scalable Deep Learning Accelerator Unit on FPGA.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Hot spots profiling and dataflow analysis in custom dataflow computing SoftProcessors.
J. Syst. Softw., 2017

安全关键信息物理系统的时序可预测性 (Temporal Predictability in Safety Critical Cyber Physical System).
计算机科学, 2017

Reconfigurable Hardware Accelerators: Opportunities, Trends, and Challenges.
CoRR, 2017

PowerSensor: A method for power optimization of smartphone through sensing wakelock application.
Proceedings of the 9th International Conference on Wireless Communications and Signal Processing, 2017

Work-in-Progress: TTI: A Timing ISA for LET Model in Safety-Critical Systems.
Proceedings of the 2017 IEEE Real-Time Systems Symposium, 2017

Implementation and Optimization of the Accelerator Based on FPGA Hardware for LSTM Network.
Proceedings of the 2017 IEEE International Symposium on Parallel and Distributed Processing with Applications and 2017 IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), 2017

Rethinking Energy-Efficiency of Heterogeneous Computing for CNN-Based Mobile Applications.
Proceedings of the 2017 IEEE International Symposium on Parallel and Distributed Processing with Applications and 2017 IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), 2017

Building a Game Benchmark for Cooperative CPU-GPU with Pseudo User-Interaction.
Proceedings of the 2017 IEEE International Symposium on Parallel and Distributed Processing with Applications and 2017 IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), 2017

A Predictable Servant-Based Execution Model for Safety-Critical Systems.
Proceedings of the 2017 IEEE International Symposium on Parallel and Distributed Processing with Applications and 2017 IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), 2017

Exploiting Aperiodic Server to Improve Aperiodic Responsiveness for LET-Based Real-Time Systems.
Proceedings of the 2017 IEEE International Symposium on Parallel and Distributed Processing with Applications and 2017 IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), 2017

A High-Performance Accelerator for Large-Scale Convolutional Neural Networks.
Proceedings of the 2017 IEEE International Symposium on Parallel and Distributed Processing with Applications and 2017 IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), 2017

Tickwerk: Design of a LET-Based SoC for Temporal Programming.
Proceedings of the 2017 IEEE International Symposium on Parallel and Distributed Processing with Applications and 2017 IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), 2017

Evaluation and Trade-offs of Graph Processing for Cloud Services.
Proceedings of the 2017 IEEE International Conference on Web Services, 2017

xFilter: A Temporal Locality Accelerator for Intrusion Detection System Services.
Proceedings of the 2017 IEEE International Conference on Web Services, 2017

GenServ: Genome Sequencing Services on Scalable Energy Efficient Accelerators.
Proceedings of the 2017 IEEE International Conference on Web Services, 2017

A Time-Aware Programming Framework for Constructing Predictable Real-Time Systems.
Proceedings of the 19th IEEE International Conference on High Performance Computing and Communications; 15th IEEE International Conference on Smart City; 3rd IEEE International Conference on Data Science and Systems, 2017

FPGA Based Big Data Accelerator Design in Teaching Computer Architecture and Organization.
Proceedings of the Cyber Physical Systems. Design, Modeling, and Evaluation, 2017

A power-efficient and high performance FPGA accelerator for convolutional neural networks: work-in-progress.
Proceedings of the Twelfth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion, 2017

A Power-Efficient Accelerator Based on FPGAs for LSTM Network.
Proceedings of the 2017 IEEE International Conference on Cluster Computing, 2017

OmniGraph: A Scalable Hardware Accelerator for Graph Processing.
Proceedings of the 2017 IEEE International Conference on Cluster Computing, 2017

A Power-Efficient Accelerator for Convolutional Neural Networks.
Proceedings of the 2017 IEEE International Conference on Cluster Computing, 2017

Clockwerk: A Predictable and Efficient Extension of Logical Execution Time Model.
Proceedings of the 24th Asia-Pacific Software Engineering Conference, 2017

2016
PUMA: From Simultaneous to Parallel for Shared Memory System in Multi-core.
J. Signal Process. Syst., 2016

Evaluation and Tradeoffs for Out-of-Order Execution on Reconfigurable Heterogeneous MPSoC.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Hardware Implementation on FPGA for Task-Level Parallel Dataflow Execution Engine.
IEEE Trans. Parallel Distributed Syst., 2016

Definitions of predictability for Cyber Physical Systems.
J. Syst. Archit., 2016

Memory Power Optimization on Different Memory Address Mapping Schemas.
J. Inf. Sci. Eng., 2016

KUMMS: optimising DRAM locality with Kernel-user behaviours.
Int. J. High Perform. Syst. Archit., 2016

Soft computing in big data intelligent transportation systems.
Appl. Soft Comput., 2016

SCADIS: A Scalable Accelerator for Data-Intensive String Set Matching on FPGAs.
Proceedings of the 2016 IEEE Trustcom/BigDataSE/ISPA, 2016

Brief Announcement: MIC++: Accelerating Maximal Information Coefficient Calculation with GPUs and FPGAs.
Proceedings of the 28th ACM Symposium on Parallelism in Algorithms and Architectures, 2016

Behavior-Aware Integrated CPU-GPU Power Management for Mobile Games.
Proceedings of the 24th IEEE International Symposium on Modeling, 2016

FairPlay: Services Migration with Lock-Free Mechanisms for Load Balancing in Cloud Architectures.
Proceedings of the IEEE International Conference on Web Services, 2016

SOLAR: Services-Oriented Learning Architectures.
Proceedings of the IEEE International Conference on Web Services, 2016

PIE: A Pipeline Energy-Efficient Accelerator for Inference Process in Deep Neural Networks.
Proceedings of the 22nd IEEE International Conference on Parallel and Distributed Systems, 2016

FCM: Towards Fine-Grained GPU Power Management for Closed Source Mobile Games.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

Display power reduction for mobile closed-source games.
Proceedings of the 27th IEEE International Conference on Application-specific Systems, 2016

2015
FreeRider: Non-Local Adaptive Network-on-Chip Routing with Packet-Carried Propagation of Congestion Information.
IEEE Trans. Parallel Distributed Syst., 2015

Heterogeneous Cloud Framework for Big Data Genome Sequencing.
IEEE ACM Trans. Comput. Biol. Bioinform., 2015

Architecture Support for Task Out-of-Order Execution in MPSoCs.
IEEE Trans. Computers, 2015

A case study of parallel JPEG encoding on an FPGA.
J. Parallel Distributed Comput., 2015

CRAIS: A Crossbar-Based Interconnection Scheme on FPGA for Big Data.
J. Comput. Sci. Technol., 2015

Fast approximate hash table using extended counting Bloom filter.
Int. J. Comput. Sci. Eng., 2015

SAKMA: Specialized FPGA-Based Accelerator Architecture for Data-Intensive K-Means Algorithms.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2015

RapidPath: Accelerating Constrained Shortest Path Finding in Graphs on FPGA (Abstract Only).
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015

SODA: software defined FPGA based accelerators for big data.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

An FPGA-Based Accelerator for Neighborhood-Based Collaborative Filtering Recommendation Algorithms.
Proceedings of the 2015 IEEE International Conference on Cluster Computing, 2015

A Deep Learning Prediction Process Accelerator Based FPGA.
Proceedings of the 15th IEEE/ACM International Symposium on Cluster, 2015

Automatic frame rate-based DVFS of game.
Proceedings of the 26th IEEE International Conference on Application-specific Systems, 2015

2014
Accelerating the Next Generation Long Read Mapping with the FPGA-Based System.
IEEE ACM Trans. Comput. Biol. Bioinform., 2014

Colored Petri Net model with automatic parallelization on real-time multicore architectures.
J. Syst. Archit., 2014

Amdahl's and Hill-Marty laws revisited for FPGA-based MPSoCs: from theory to practice.
Int. J. High Perform. Syst. Archit., 2014

Memory power optimisation on low-bit multi-access cross memory address mapping schema.
Int. J. Embed. Syst., 2014

Towards Energy Optimization Based on Delay-Sensitive Traffic for WiFi Network.
Proceedings of the 2014 IEEE 11th Intl Conf on Ubiquitous Intelligence and Computing and 2014 IEEE 11th Intl Conf on Autonomic and Trusted Computing and 2014 IEEE 14th Intl Conf on Scalable Computing and Communications and Its Associated Workshops, 2014

PseudoNUMA for reducing memory interference in multi-core systems.
Proceedings of the 2014 Spring Simulation Multiconference, 2014

Memory power optimization on different memory address mapping schemas.
Proceedings of the 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications, 2014

PUMA: Pseudo unified memory architecture for single-ISA heterogeneous multi-core systems.
Proceedings of the 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications, 2014

Multi-objective aware design flow for coarse-grained systems on chip.
Proceedings of the 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications, 2014

Kernel-User Space Separation in DRAM Memory.
Proceedings of the IEEE International Symposium on Parallel and Distributed Processing with Applications, 2014

Trade-offs between the sensitivity and the speed of the FPGA-based sequence aligner.
Proceedings of the 2014 International Symposium on Integrated Circuits (ISIC), 2014

A Thread Behavior-Based Memory Management Framework on Multi-core Smartphone.
Proceedings of the 2014 19th International Conference on Engineering of Complex Computer Systems, 2014

Behavior Gaps and Relations between Operating System and Applications on Accessing DRAM.
Proceedings of the 2014 19th International Conference on Engineering of Complex Computer Systems, 2014

Application-aware group scheduler for Android.
Proceedings of the Fifth International Conference on the Applications of Digital Information and Web Technologies, 2014

Temperature-Aware Scheduling Based on Dynamic Time-Slice Scaling.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2014

Texture-Directed Mobile GPU Power Management for Closed-Source Games.
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014

Big data genome sequencing on Zynq based clusters (abstract only).
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014

Co-processing with dynamic reconfiguration on heterogeneous MPSoC: practices and design tradeoffs (abstract only).
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014

Instruction Extension and Generation for Adaptive Processors.
Proceedings of the Reconfigurable Computing: Architectures, Tools, and Applications, 2014

2013
MP-Tomasulo: A Dependency-Aware Automatic Parallel Execution Engine for Sequential Programs.
ACM Trans. Archit. Code Optim., 2013

Heterothread: hybrid thread level parallelism on heterogeneous multicore architectures.
SIGBED Rev., 2013

Services-oriented URL filtering and verification.
Int. J. High Perform. Syst. Archit., 2013

A Semantics-based Translation Method for Automated Verification of SystemC TLM Designs.
J. Electron. Test., 2013

Static or Dynamic: Trade-Offs for Task Dependency Analysis for Heterogeneous MPSoC.
Proceedings of the 12th IEEE International Conference on Trust, 2013

Detecting Associations in Large Dataset on MapReduce.
Proceedings of the 12th IEEE International Conference on Trust, 2013

Coordinate page allocation and thread group for improving main memory power efficiency.
Proceedings of the Workshop on Power-Aware Computing and Systems, 2013

SOBA: A Services-Oriented Browser Architecture with Distributed URL-Filtering Mechanisms for Teenagers.
Proceedings of the IEEE Ninth World Congress on Services, 2013

An effective model extraction method with state space compression for model checking SystemC TLM designs.
Proceedings of the 2013 International Conference on Embedded Computer Systems: Architectures, 2013

FPGA implementation of a scheduler supporting parallel dataflow execution.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Power-aware buddy system and task group scheduler.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Coordinate Task and Memory Management for Improving Power Efficiency.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2013

SmartMal: A Service-Oriented Behavioral Malware Detection Framework for Smartphones.
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013

An Intelligent Transportation System Using RFID Based Sensors.
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013

Group Scheduling for Improving Both CPU and Memory Power Efficiency Simultaneously.
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013

A FPGA-Based High Performance Acceleration Platform for the Next Generation Long Read Mapping.
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013

Hardware acceleration for the banded Smith-Waterman algorithm with the cycled systolic array.
Proceedings of the 2013 International Conference on Field-Programmable Technology, 2013

Genome sequencing using mapreduce on FPGA with multiple hardware accelerators (abstract only).
Proceedings of the 2013 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2013

Custom instruction generation and mapping for reconfigurable instruction set processors (abstract only).
Proceedings of the 2013 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2013

Acceleration of the long read mapping on a PC-FPGA architecture (abstract only).
Proceedings of the 2013 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2013

Pipeline Optimization for Loops on Reconfigurable Platform.
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2013

SmartClass: A Services-Oriented Approach for University Resource Scheduling.
Proceedings of the 2013 IEEE International Conference on Services Computing, Santa Clara, CA, USA, June 28, 2013

2012
A star network approach in heterogeneous multiprocessors system on chip.
J. Supercomput., 2012

Analyzing Parallelization and Program Performance in Heterogeneous MPSoCs.
Proceedings of the 20th IEEE International Symposium on Modeling, 2012

Frequency Affinity: Analyzing and Maximizing Power Efficiency in Multi-core Systems.
Proceedings of the 20th IEEE International Symposium on Modeling, 2012

FPM: A Flexible Programming Model for MPSoC on FPGA.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012

Detecting Data Hazards in Multi-Processor System-on-Chips on FPGA.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012

Behavior Aware Data Locality for Caches.
Proceedings of the 18th IEEE International Conference on Parallel and Distributed Systems, 2012

A Dependency Aware Task Partitioning and Scheduling Algorithm for Hardware-Software Codesign on MPSoCs.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2012

Share memory aware scheduler: balancing performance and fairness.
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012

A task-level OoO framework for heterogeneous systems.
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012

Parallel dataflow execution for sequential programs on reconfigurable hybrid MPSoCs.
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012

CaaS: Core as a service realizing hardware sercices on reconfigurable MPSoCS.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

Phase Detection for Loop-Based Programs on Multicore Architectures.
Proceedings of the 2012 IEEE International Conference on Cluster Computing, 2012

Memory Affinity: Balancing Performance, Power, Thermal and Fairness for Multi-core Systems.
Proceedings of the 2012 IEEE International Conference on Cluster Computing, 2012

Cache Promotion Policy Using Re-reference Interval Prediction.
Proceedings of the 2012 IEEE International Conference on Cluster Computing, 2012

DTS: Using Dynamic Time-Slice Scaling to Address the OS Problem Incurred by DVFS.
Proceedings of the 2012 IEEE International Conference on Cluster Computing Workshops, 2012

Cloud Based Short Read Mapping Service.
Proceedings of the 2012 IEEE International Conference on Cluster Computing, 2012

Sedna: A Memory Based Key-Value Storage System for Realtime Processing in Cloud.
Proceedings of the 2012 IEEE International Conference on Cluster Computing Workshops, 2012

CRAIS: A Crossbar Based Adaptive Interconnection Scheme.
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2012

Regarding Processors and Reconfigurable IP Cores as Services.
Proceedings of the 2012 IEEE Ninth International Conference on Services Computing, 2012

2010
Reputation-based trust model in Vehicular Ad Hoc Networks.
Proceedings of the International Conference on Wireless Communications and Signal Processing, 2010

2009
Trust Management in the P2P Grid.
J. Digit. Content Technol. its Appl., 2009

RePro: A Reputation-based Proactive Routing Protocol for the Wireless Mesh Backbone.
Proceedings of the International Conference on Networked Computing and Advanced Information Management, 2009

Formal Verification of Out-of-Order Processor.
Proceedings of the International Conference on Computer Modeling and Simulation, 2009

2008
Formal Verification of Bypassed Processor Pipelines.
Proceedings of the 9th International Conference for Young Computer Scientists, 2008

Reputation Based Service Selection in Grid Environment.
Proceedings of the International Conference on Computer Science and Software Engineering, 2008

2006
A Fast Instruction Set Evaluation Method for ASIP Designs.
Proceedings of the Embedded and Ubiquitous Computing, International Conference, 2006

Mining X-Ray Images of SARS Patients.
Proceedings of the Data Mining - Theory, Methodology, Techniques, and Applications, 2006

2005
Compile-Time Energy Reduction Techniques based on Voltage Scaling Characteristic.
Proceedings of the IASTED International Conference on Software Engineering, 2005

2004
PN-based Formal Modeling and Verification for ASIP Architecture.
Proceedings of the Embedded Software and Systems, First International Conference, 2004

2003
OOEM: Object-Oriented Energy Model for Embedded Software IP Reuse.
Proceedings of the 2003 IEEE International Conference on Information Reuse and Integration, 2003


  Loading...