Xing Hu

Orcid: 0000-0002-9979-0561

Affiliations:
  • University of California, Santa Barbara, Department of Electrical and Computer Engineering, CA, USA
  • University of Chinese Academy of Sciences, State Key Laboratory of Computer Architecture, Institute of Computing Technology, Beijing, China (former)


According to our database1, Xing Hu authored at least 80 papers between 2011 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Real-Time Robust Video Object Detection System Against Physical-World Adversarial Attacks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., January, 2024

2023
Learning controllable elements oriented representations for reinforcement learning.
Neurocomputing, September, 2023

DyPipe: A Holistic Approach to Accelerating Dynamic Neural Networks with Dynamic Pipelining.
J. Comput. Sci. Technol., July, 2023

Comprehensive SNN Compression Using ADMM Optimization and Activity Regularization.
IEEE Trans. Neural Networks Learn. Syst., June, 2023

Exploring Adversarial Attack in Spiking Neural Networks With Spike-Compatible Gradient.
IEEE Trans. Neural Networks Learn. Syst., May, 2023

Can Protective Perturbation Safeguard Personal Data from Being Exploited by Stable Diffusion?
CoRR, 2023

Context Shift Reduction for Offline Meta-Reinforcement Learning.
CoRR, 2023

Efficient Symbolic Policy Learning with Differentiable Symbolic Expression.
CoRR, 2023

Self-driven Grounding: Large Language Model Agents with Automatical Language-aligned Skill Learning.
CoRR, 2023

Pushing the Limits of Machine Design: Automated CPU Design with AI.
CoRR, 2023

Flew Over Learning Trap: Learn Unlearnable Samples by Progressive Staged Training.
CoRR, 2023

Unlearnable Examples for Diffusion Models: Protect Data from Unauthorized Exploitation.
CoRR, 2023

ANPL: Compiling Natural Programs with Interactive Decomposition.
CoRR, 2023

Ultra-low Precision Multiplication-free Training for Deep Neural Networks.
CoRR, 2023

Online Symbolic Regression with Informative Query.
CoRR, 2023

Contrastive Modules with Temporal Attention for Multi-Task Reinforcement Learning.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

Cambricon-R: A Fully Fused Accelerator for Real-Time Learning of Neural Scene Representation.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

Online Prototype Alignment for Few-shot Policy Transfer.
Proceedings of the International Conference on Machine Learning, 2023

BALTO: fast tensor program optimization with diversity-based active learning.
Proceedings of the Eleventh International Conference on Learning Representations, 2023

Heron: Automatically Constrained High-Performance Library Generation for Deep Learning Accelerators.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

Conceptual Reinforcement Learning for Language-Conditioned Tasks.
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023

2022
Cambricon-G: A Polyvalent Energy-Efficient Accelerator for Dynamic Graph Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Rubik: A Hierarchical Architecture for Efficient Graph Neural Network Training.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Enabling One-Size-Fits-All Compilation Optimization for Inference Across Machine Learning Computers.
IEEE Trans. Computers, 2022

A Systematic View of Model Leakage Risks in Deep Neural Network Systems.
IEEE Trans. Computers, 2022

Real-Time Robust Video Object Detection System Against Physical-World Adversarial Attacks.
CoRR, 2022

Object-Category Aware Reinforcement Learning.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022

Causality-driven Hierarchical Structure Discovery for Reinforcement Learning.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022

Toward Robust Spiking Neural Network Against Adversarial Perturbation.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022

Cambricon-P: A Bitflow Architecture for Arbitrary Precision Computing.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

BabelTower: Learning to Auto-parallelized Program Translation.
Proceedings of the International Conference on Machine Learning, 2022

Neural Program Synthesis with Query.
Proceedings of the Tenth International Conference on Learning Representations, 2022

2021
Effective and Efficient Batch Normalization Using a Few Uncorrelated Data for Statistics Estimation.
IEEE Trans. Neural Networks Learn. Syst., 2021

A Novel, Efficient Implementation of a Local Binary Convolutional Neural Network.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Rescuing RRAM-Based Computing From Static and Dynamic Faults.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Practical Attacks on Deep Neural Networks by Memory Trojaning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Fast Search of the Optimal Contraction Sequence in Tensor Networks.
IEEE J. Sel. Top. Signal Process., 2021

ScaleCert: Scalable Certified Defense against Adversarial Patches with Sparse Superficial Layers.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021

Cambricon-Q: A Hybrid Architecture for Efficient Training.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021

Hindsight Value Function for Variance Reduction in Stochastic Dynamic Environment.
Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021

SpaceA: Sparse Matrix Vector Multiplication on Processing-in-Memory Accelerator.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

SEALing Neural Network Models in Encrypted Deep Learning Accelerators.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
SemiMap: A Semi-Folded Convolution Mapping for Speed-Overhead Balance on Crossbars.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

NNBench-X: A Benchmarking Methodology for Neural Network Accelerator Designs.
ACM Trans. Archit. Code Optim., 2020

Rethinking the performance comparison between SNNS and ANNS.
Neural Networks, 2020

Tianjic: A Unified and Scalable Chip Bridging Spike-Based and Continuous Neural Computation.
IEEE J. Solid State Circuits, 2020

Rubik: A Hierarchical Architecture for Efficient Graph Learning.
CoRR, 2020

SEALing Neural Network Models in Secure Deep Learning Accelerators.
CoRR, 2020

Sequence Triggered Hardware Trojan in Neural Network Accelerator.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

DUET: Boosting Deep Neural Network Efficiency on Dual-Module Architecture.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

HyGCN: A GCN Accelerator with Hybrid Architecture.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020

DeepSniffer: A DNN Model Extraction Framework Based on Learning Architectural Hints.
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020

2019
Neural Network Model Extraction Attacks in Edge Devices by Hearing Architectural Hints.
CoRR, 2019

Programmable Neural Network Trojan for Pre-Trained Feature Extractor.
CoRR, 2019

NNBench-X: Benchmarking and Understanding Neural Network Workloads for Accelerator Designs.
IEEE Comput. Archit. Lett., 2019

Alleviating Irregularity in Graph Analytics Acceleration: a Hardware/Software Co-Design Approach.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

MEDAL: Scalable DIMM based Near Data Processing Accelerator for DNA Seeding Algorithm.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

Balancing Memory Accesses for Energy-Efficient Graph Analytics Accelerators.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

Dynamic Sparse Graph for Efficient Deep Learning.
Proceedings of the 7th International Conference on Learning Representations, 2019

When Deep Learning Meets the Edge: Auto-Masking Deep Neural Networks for Efficient Machine Learning on Edge Devices.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019

Analysis and Optimization of the Memory Hierarchy for Graph Processing Workloads.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

CNNWire: Boosting Convolutional Neural Network with Winograd on ReRAM based Accelerators.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Memory Trojan Attack on Neural Network Accelerators.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Near-Data Acceleration of Privacy-Preserving Biomarker Search with 3D-Stacked Memory.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Memory-Bound Proof-of-Work Acceleration for Blockchain Applications.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

FPSA: A Full System Stack Solution for Reconfigurable ReRAM-based NN Accelerator Architecture.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019

2018
Die Stacking Is Happening.
IEEE Micro, 2018

Batch Normalization Sampling.
CoRR, 2018

Exploring Core and Cache Hierarchy Bottlenecks in Graph Processing Workloads.
IEEE Comput. Archit. Lett., 2018

Crossbar-Aware Neural Network Pruning.
IEEE Access, 2018

SCOPE: A Stochastic Computing Engine for DRAM-Based In-Situ Accelerator.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

Persistence Parallelism Optimization: A Holistic Approach from Memory Bus to RDMA Network.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

An automated approach to estimating code coverage measures via execution logs.
Proceedings of the 33rd ACM/IEEE International Conference on Automated Software Engineering, 2018

RADAR: a 3D-reRAM based DNA alignment accelerator architecture.
Proceedings of the 55th Annual Design Automation Conference, 2018

2016
TSocket: Thermal Sustainable Power Budgeting.
ACM Trans. Design Autom. Electr. Syst., 2016

2014
Orchestrator: Guarding Against Voltage Emergencies in Multithreaded Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Thermal-Sustainable Power Budgeting for Dynamic Threading.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

SwimmingLane: A composite approach to mitigate voltage droop effects in 3D power delivery network.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Orchestrator: a low-cost solution to reduce voltage emergencies for multi-threaded applications.
Proceedings of the Design, Automation and Test in Europe, 2013

2011
A cost-effective substantial-impact-filter based method to tolerate voltage emergencies.
Proceedings of the Design, Automation and Test in Europe, 2011


  Loading...