Yan Lin

Affiliations:
  • University of California, Los Angeles, Department of Electrical Engineering, CA, USA


According to our database1, Yan Lin authored at least 23 papers between 2004 and 2012.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2012
Statistical Timing and Power Optimization of Architecture and Device for FPGAs.
ACM Trans. Reconfigurable Technol. Syst., 2012

2008
Stochastic Physical Synthesis Considering Prerouting Interconnect Uncertainty and Process Variation for FPGAs.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Physical synthesis for FPGA interconnect power reduction by dual-Vdd budgeting and retiming.
ACM Trans. Design Autom. Electr. Syst., 2008

Trace-based framework for concurrent development of process and FPGA architecture considering process variation and reliability.
Proceedings of the ACM/SIGDA 16th International Symposium on Field Programmable Gate Arrays, 2008

2007
Field Programmability of Supply Voltages for FPGA Power Reduction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Device and Architecture Cooptimization for FPGA Power Reduction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Statistical placement for FPGAs considering.
IET Comput. Digit. Tech., 2007

Device and architecture concurrent optimization for FPGA transient soft error rate.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Stochastic physical synthesis for FPGAs with pre-routing interconnect uncertainty and process variation.
Proceedings of the ACM/SIGDA 15th International Symposium on Field Programmable Gate Arrays, 2007

Interactive presentation: Statistical dual-Vdd assignment for FPGA interconnect power reduction.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
Dual-Vdd Interconnect With Chip-Level Time Slack Allocation for FPGA Power Reduction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

An efficient chip-level time slack allocation algorithm for Dual-Vdd FPGA power reduction.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

Placement and Timing for FPGAs Considering Variations.
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006

Simultaneous time slack budgeting and retiming for dual-Vdd FPGA power reduction.
Proceedings of the 43rd Design Automation Conference, 2006

2005
Circuits and architectures for field programmable gate array with configurable supply voltage.
IEEE Trans. Very Large Scale Integr. Syst., 2005

FPGA device and architecture evaluation considering process variations.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

Power modeling and architecture evaluation for FPGA with novel circuits for Vdd programmability.
Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, 2005

Leakage efficient chip-level dual-Vdd assignment with time slack allocation for FPGA power reduction.
Proceedings of the 42nd Design Automation Conference, 2005

Device and architecture co-optimization for FPGA power reduction.
Proceedings of the 42nd Design Automation Conference, 2005

Routing track duplication with fine-grained power-gating for FPGA interconnect power reduction.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Vdd programmability to reduce FPGA interconnect power.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

Low-power FPGA using pre-defined dual-Vdd/dual-Vt fabrics.
Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, 2004

FPGA power reduction using configurable dual-Vdd.
Proceedings of the 41th Design Automation Conference, 2004


  Loading...