% csauthors.net - beta - BibTeX bibliography of Yejoong Kim
@inproceedings{conf/date/ChenKZBSNS11,
title = {A confidence-driven model for error-resilient computing.},
year = {2011},
booktitle = {DATE},
author = {{Chia-Hsiang Chen} and {Yejoong Kim} and {Zhengya Zhang} and {David T. Blaauw} and {Dennis Sylvester} and {Helia Naeimi} and {Sumeet Sandhu}},
publisher = {IEEE},
booktitle = {Design, Automation and Test in Europe, DATE 2011, Grenoble, France, March 14-18, 2011}
}
@inproceedings{conf/isscc/ChenGHWKKFKSWBS11,
title = {A cubic-millimeter energy-autonomous wireless intraocular pressure monitor.},
year = {2011},
booktitle = {ISSCC},
author = {{Gregory K. Chen} and {Hassan Ghaed} and {Razi-Ul Haque} and {Michael Wieckowski} and {Yejoong Kim} and {Gyouho Kim} and {David Fick} and {Daeyeon Kim} and {Mingoo Seok} and {Kensall D. Wise} and {David T. Blaauw} and {Dennis Sylvester}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}
}
@inproceedings{conf/cicc/KimLBLKSB12,
title = {A 695 pW standby power optical wake-up receiver for wireless sensor nodes.},
year = {2012},
booktitle = {CICC},
author = {{Gyouho Kim} and {Yoonmyung Lee} and {Suyoung Bang} and {Inhee Lee} and {Yejoong Kim} and {Dennis Sylvester} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, CICC 2012, San Jose, CA, USA, September 9-12, 2012}
}
@inproceedings{conf/esscirc/KimLSB12,
title = {SLC: Split-control Level Converter for dense and stable wide-range voltage conversion.},
year = {2012},
booktitle = {ESSCIRC},
author = {{Yejoong Kim} and {Yoonmyung Lee} and {Dennis Sylvester} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {Proceedings of the 38th European Solid-State Circuit conference, ESSCIRC 2012, Bordeaux, France, September 17-21, 2012}
}
@inproceedings{conf/isscc/FojtikFKPHBS12,
title = {Bubble Razor: An architecture-independent approach to timing-error detection and correction.},
year = {2012},
booktitle = {ISSCC},
author = {{Matthew Fojtik} and {David Fick} and {Yejoong Kim} and {Nathaniel Ross Pinckney} and {David Money Harris} and {David T. Blaauw} and {Dennis Sylvester}},
publisher = {IEEE},
booktitle = {2012 IEEE International Solid-State Circuits Conference, ISSCC 2012, San Francisco, CA, USA, February 19-23, 2012}
}
@inproceedings{conf/isscc/LeeKBKLDSB12,
title = {A modular 1mm3 die-stacked sensing platform with optical communication and multi-modal energy harvesting.},
year = {2012},
booktitle = {ISSCC},
author = {{Yoonmyung Lee} and {Gyouho Kim} and {Suyoung Bang} and {Yejoong Kim} and {Inhee Lee} and {Prabal Dutta} and {Dennis Sylvester} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {2012 IEEE International Solid-State Circuits Conference, ISSCC 2012, San Francisco, CA, USA, February 19-23, 2012}
}
@inproceedings{conf/vlsic/LeeBLKKSB12,
title = {A 635pW battery voltage supervisory circuit for miniature sensor nodes.},
year = {2012},
booktitle = {VLSIC},
author = {{Inhee Lee} and {Suyoung Bang} and {Yoonmyung Lee} and {Yejoong Kim} and {Gyouho Kim} and {Dennis Sylvester} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {Symposium on VLSI Circuits, VLSIC 2012, Honolulu, HI, USA, June 13-15, 2012}
}
@inproceedings{conf/icassp/JeonKLZBS13,
title = {A low-power VGA full-frame feature extraction processor.},
year = {2013},
booktitle = {ICASSP},
author = {{Dongsuk Jeon} and {Yejoong Kim} and {Inhee Lee} and {Zhengya Zhang} and {David T. Blaauw} and {Dennis Sylvester}},
publisher = {IEEE},
booktitle = {IEEE International Conference on Acoustics, Speech and Signal Processing, ICASSP 2013, Vancouver, BC, Canada, May 26-31, 2013}
}
@inproceedings{conf/iscas/BangLLKKBS13,
title = {A fully integrated switched-capacitor based PMU with adaptive energy harvesting technique for ultra-low power sensing applications.},
year = {2013},
booktitle = {ISCAS},
author = {{Suyoung Bang} and {Yoonmyung Lee} and {Inhee Lee} and {Yejoong Kim} and {Gyouho Kim} and {David T. Blaauw} and {Dennis Sylvester}},
publisher = {IEEE},
booktitle = {2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}
}
@inproceedings{conf/isscc/JeonKLZBS13,
title = {A 470mV 2.7mW feature extraction-accelerator for micro-autonomous vehicle navigation in 28nm CMOS.},
year = {2013},
booktitle = {ISSCC},
author = {{Dongsuk Jeon} and {Yejoong Kim} and {Inhee Lee} and {Zhengya Zhang} and {David T. Blaauw} and {Dennis Sylvester}},
publisher = {IEEE},
booktitle = {2013 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, ISSCC 2013, San Francisco, CA, USA, February 17-21, 2013}
}
@article{journals/ipsj/LeeYKBS13,
title = {Circuit and System Design Guidelines for Ultra-low Power Sensor Nodes.},
year = {2013},
journal = {IPSJ Trans. Syst. LSI Des. Methodol.},
author = {{Yoonmyung Lee} and {Dongmin Yoon} and {Yejoong Kim} and {David T. Blaauw} and {Dennis Sylvester}}
}
@article{journals/jssc/FojtikFKPHBS13,
title = {Bubble Razor: Eliminating Timing Margins in an ARM Cortex-M3 Processor in 45 nm CMOS Using Architecturally Independent Error Detection and Correction.},
year = {2013},
journal = {IEEE J. Solid State Circuits},
author = {{Matthew Fojtik} and {David Fick} and {Yejoong Kim} and {Nathaniel Ross Pinckney} and {David Money Harris} and {David T. Blaauw} and {Dennis Sylvester}}
}
@article{journals/jssc/LeeBLKKGPDSB13,
title = {A Modular 1 mm3 Die-Stacked Sensing Platform With Low Power I2C Inter-Die Communication and Multi-Modal Energy Harvesting.},
year = {2013},
journal = {IEEE J. Solid State Circuits},
author = {{Yoonmyung Lee} and {Suyoung Bang} and {Inhee Lee} and {Yejoong Kim} and {Gyouho Kim} and {Mohammad Hassan Ghaed} and {Pat Pannuto} and {Prabal Dutta} and {Dennis Sylvester} and {David T. Blaauw}}
}
@article{journals/tcas/GhaedCHWKKLLFKSWBS13,
title = {Circuits for a Cubic-Millimeter Energy-Autonomous Wireless Intraocular Pressure Monitor.},
year = {2013},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Mohammad Hassan Ghaed} and {Gregory K. Chen} and {Razi-Ul Haque} and {Michael Wieckowski} and {Yejoong Kim} and {Gyouho Kim} and {Yoonmyung Lee} and {Inhee Lee} and {David Fick} and {Daeyeon Kim} and {Mingoo Seok} and {Kensall D. Wise} and {David T. Blaauw} and {Dennis Sylvester}}
}
@inproceedings{conf/cicc/LeeKBKHCJJJGFLSSB14,
title = {Circuit techniques for miniaturized biomedical sensors.},
year = {2014},
booktitle = {CICC},
author = {{Inhee Lee} and {Yejoong Kim} and {Suyoung Bang} and {Gyouho Kim} and {Hyunsoo Ha} and {Yen-Po Chen} and {Dongsuk Jeon} and {Seokhyun Jeong} and {Wanyeong Jung} and {Mohammad Hassan Ghaed} and {Zhiyoong Foo} and {Yoonmyung Lee} and {Jae-Yoon Sim} and {Dennis Sylvester} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, CICC 2014, San Jose, CA, USA, September 15-17, 2014}
}
@inproceedings{conf/esscirc/OhLWFKBS14,
title = {Dual-slope capacitance to digital converter integrated in an implantable pressure sensing system.},
year = {2014},
booktitle = {ESSCIRC},
author = {{Sechang Oh} and {Yoonmyung Lee} and {Jingcheng Wang} and {Zhiyoong Foo} and {Yejoong Kim} and {David T. Blaauw} and {Dennis Sylvester}},
publisher = {IEEE},
booktitle = {ESSCIRC 2014 - 40th European Solid State Circuits Conference, Venice Lido, Italy, September 22-26, 2014}
}
@inproceedings{conf/iscas/KimWBBLLKHKACSB14,
title = {Chip-on-mud: Ultra-low power ARM-based oceanic sensing system powered by small-scale benthic microbial fuel cells.},
year = {2014},
booktitle = {ISCAS},
author = {{Gyouho Kim} and {Adriane Wolfe} and {Richard Bell} and {Suyoung Bang} and {Yoonmyung Lee} and {Inhee Lee} and {Yejoong Kim} and {Lewis Hsu} and {Jeffrey Kagan} and {Meriah Arias-Thode} and {Bart Chadwick} and {Dennis Sylvester} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {IEEE International Symposium on Circuits and Systemss, ISCAS 2014, Melbourne, Victoria, Australia, June 1-5, 2014}
}
@inproceedings{conf/isscc/JeonCLKFKOBZBS14,
title = {24.3 An implantable 64nW ECG-monitoring mixed-signal SoC for arrhythmia diagnosis.},
year = {2014},
booktitle = {ISSCC},
author = {{Dongsuk Jeon} and {Yen-Po Chen} and {Yoonmyung Lee} and {Yejoong Kim} and {Zhiyoong Foo} and {Grant H. Kruger} and {Hakan Oral} and {Omer Berenfeld} and {Zhengya Zhang} and {David T. Blaauw} and {Dennis Sylvester}},
publisher = {IEEE},
booktitle = {2014 IEEE International Conference on Solid-State Circuits Conference, ISSCC 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}
}
@inproceedings{conf/isscc/KimJLDHSB14,
title = {27.8 A static contention-free single-phase-clocked 24T flip-flop in 45nm for low-power applications.},
year = {2014},
booktitle = {ISSCC},
author = {{Yejoong Kim} and {Wanyeong Jung} and {Inhee Lee} and {Qing Dong 001} and {Michael B. Henry} and {Dennis Sylvester} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {2014 IEEE International Conference on Solid-State Circuits Conference, ISSCC 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}
}
@inproceedings{conf/vlsic/KimLFPKKGBLKJDS14,
title = {A millimeter-scale wireless imaging system with continuous motion detection and energy harvesting.},
year = {2014},
booktitle = {VLSIC},
author = {{Gyouho Kim} and {Yoonmyung Lee} and {Zhiyoong Foo} and {Pat Pannuto} and {Ye-Sheng Kuo} and {Benjamin P. Kempke} and {Mohammad Hassan Ghaed} and {Suyoung Bang} and {Inhee Lee} and {Yejoong Kim} and {Seokhyeon Jeong} and {Prabal Dutta} and {Dennis Sylvester} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {Symposium on VLSI Circuits, VLSIC 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}
}
@article{journals/jssc/JeonHKLZBS14,
title = {An Energy Efficient Full-Frame Feature Extraction Accelerator With Shift-Latch FIFO in 28 nm CMOS.},
year = {2014},
journal = {IEEE J. Solid State Circuits},
author = {{Dongsuk Jeon} and {Michael B. Henry} and {Yejoong Kim} and {Inhee Lee} and {Zhengya Zhang} and {David T. Blaauw} and {Dennis Sylvester}}
}
@inproceedings{conf/biocas/LeeJHJKKFSSB15,
title = {An ultra-low-power biomedical chip for injectable pressure monitor.},
year = {2015},
booktitle = {BioCAS},
author = {{Inhee Lee} and {Wanyeong Jung} and {Hyunsoo Ha} and {Seokhyeon Jeong} and {Yejoong Kim} and {Gyouho Kim} and {Zhiyoong Foo} and {Jae-Yoon Sim} and {Dennis Sylvester} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {IEEE Biomedical Circuits and Systems Conference, BioCAS 2015, Atlanta, GA, USA, October 22-24, 2015}
}
@inproceedings{conf/esscirc/JangJCJKCKLSB15,
title = {FOCUS: Key building blocks and integration strategy of a miniaturized wireless sensor node.},
year = {2015},
booktitle = {ESSCIRC},
author = {{Tae-Kwang Jang} and {Seokhyeon Jeong} and {Myungjoon Choi} and {Wanyeong Jung} and {Gyouho Kim} and {Yen-Po Chen} and {Yejoong Kim} and {Wootaek Lim} and {Dennis Sylvester} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {ESSCIRC Conference 2015 - 41st European Solid-State Circuits Conference, Graz, Austria, September 14-18, 2015}
}
@inproceedings{conf/vlsic/JeonDKWCYBS15,
title = {A 23mW face recognition accelerator in 40nm CMOS with mostly-read 5T memory.},
year = {2015},
booktitle = {VLSIC},
author = {{Dongsuk Jeon} and {Qing Dong 001} and {Yejoong Kim} and {Xiaolong Wang} and {Shuai Chen} and {Hao Yu 001} and {David T. Blaauw} and {Dennis Sylvester}},
publisher = {IEEE},
booktitle = {Symposium on VLSI Circuits, VLSIC 2015, Kyoto, Japan, June 17-19, 2015}
}
@article{journals/jssc/ChenJLKFLLKOBZBS15,
title = {An Injectable 64 nW ECG Mixed-Signal SoC in 65 nm for Arrhythmia Monitoring.},
year = {2015},
journal = {IEEE J. Solid State Circuits},
author = {{Yen-Po Chen} and {Dongsuk Jeon} and {Yoonmyung Lee} and {Yejoong Kim} and {Zhiyoong Foo} and {Inhee Lee} and {Nicholas B. Langhals} and {Grant H. Kruger} and {Hakan Oral} and {Omer Berenfeld} and {Zhengya Zhang} and {David T. Blaauw} and {Dennis Sylvester}}
}
@article{journals/jssc/OhLWFKJLBS15,
title = {A Dual-Slope Capacitance-to-Digital Converter Integrated in an Implantable Pressure-Sensing System.},
year = {2015},
journal = {IEEE J. Solid State Circuits},
author = {{Sechang Oh} and {Yoonmyung Lee} and {Jingcheng Wang} and {Zhiyoong Foo} and {Yejoong Kim} and {Wanyeong Jung} and {Ziyun Li} and {David T. Blaauw} and {Dennis Sylvester}}
}
@article{journals/tcas/LeeKBWBJKKACSBL15,
title = {System-On-Mud: Ultra-Low Power Oceanic Sensing Platform Powered by Small-Scale Benthic Microbial Fuel Cells.},
year = {2015},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Inhee Lee} and {Gyouho Kim} and {Suyoung Bang} and {Adriane Wolfe} and {Richard Bell} and {Seokhyeon Jeong} and {Yejoong Kim} and {Jeffrey Kagan} and {Meriah Arias-Thode} and {Bart Chadwick} and {Dennis Sylvester} and {David T. Blaauw} and {Yoonmyung Lee}}
}
@inproceedings{conf/isscc/SkrzyniarzFSKSB16,
title = {24.3 A 36.8 2b-TOPS/W self-calibrating GPS accelerator implemented using analog calculation in 65nm LP CMOS.},
year = {2016},
booktitle = {ISSCC},
author = {{Skylar Skrzyniarz} and {Laura Fick} and {Jinal Shah} and {Yejoong Kim} and {Dennis Sylvester} and {David T. Blaauw} and {David Fick} and {Michael B. Henry}},
publisher = {IEEE},
booktitle = {2016 IEEE International Solid-State Circuits Conference, ISSCC 2016, San Francisco, CA, USA, January 31 - February 4, 2016}
}
@inproceedings{conf/isscc/BangWLGKDCFSDMK17,
title = {14.7 A 288µW programmable deep-learning processor with 270KB on-chip weight storage using non-uniform memory hierarchy for mobile intelligence.},
year = {2017},
booktitle = {ISSCC},
author = {{Suyoung Bang} and {Jingcheng Wang} and {Ziyun Li} and {Cao Gao} and {Yejoong Kim} and {Qing Dong 001} and {Yen-Po Chen} and {Laura Fick} and {Xun Sun} and {Ronald G. Dreslinski} and {Trevor N. Mudge} and {Hun-Seok Kim} and {David T. Blaauw} and {Dennis Sylvester}},
publisher = {IEEE},
booktitle = {2017 IEEE International Solid-State Circuits Conference, ISSCC 2017, San Francisco, CA, USA, February 5-9, 2017}
}
@inproceedings{conf/isscc/ChuoSLCFKKGWKB17,
title = {7.4 A 915MHz asymmetric radio using Q-enhanced amplifier for a fully integrated 3×3×3mm3 wireless sensor node with 20m non-line-of-sight communication.},
year = {2017},
booktitle = {ISSCC},
author = {{Li-Xuan Chuo} and {Yao Shi 001} and {Zhihong Luo} and {Nikolaos Chiotellis} and {Zhiyoong Foo} and {Gyouho Kim} and {Yejoong Kim} and {Anthony Grbic} and {David D. Wentzloff} and {Hun-Seok Kim} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {2017 IEEE International Solid-State Circuits Conference, ISSCC 2017, San Francisco, CA, USA, February 5-9, 2017}
}
@inproceedings{conf/isscc/DongKLCLWYCDCKC17,
title = {11.2 A 1Mb embedded NOR flash memory with 39µW program power for mm-scale high-temperature sensor nodes.},
year = {2017},
booktitle = {ISSCC},
author = {{Qing Dong 001} and {Yejoong Kim} and {Inhee Lee} and {Myungjoon Choi} and {Ziyun Li} and {Jingcheng Wang} and {Kaiyuan Yang 001} and {Yen-Po Chen} and {Junjie Dong} and {Minchang Cho} and {Gyouho Kim} and {Wei-Keng Chang} and {Yun-Sheng Chen} and {Yu-Der Chih} and {David T. Blaauw} and {Dennis Sylvester}},
publisher = {IEEE},
booktitle = {2017 IEEE International Solid-State Circuits Conference, ISSCC 2017, San Francisco, CA, USA, February 5-9, 2017}
}
@article{journals/jssc/JeonDKWCYBS17,
title = {A 23-mW Face Recognition Processor with Mostly-Read 5T Memory in 40-nm CMOS.},
year = {2017},
journal = {IEEE J. Solid State Circuits},
author = {{Dongsuk Jeon} and {Qing Dong 001} and {Yejoong Kim} and {Xiaolong Wang} and {Shuai Chen} and {Hao Yu 001} and {David T. Blaauw} and {Dennis Sylvester}}
}
@article{journals/tcas/JangKKHCPKKFKGS17,
title = {Circuit and System Designs of Ultra-Low Power Sensor Nodes With Illustration in a Miniaturized GNSS Logger for Position Tracking: Part I - Analog Circuit Techniques.},
year = {2017},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Taekwang Jang} and {Gyouho Kim} and {Benjamin P. Kempke} and {Michael B. Henry} and {Nikolaos Chiotellis} and {Carl Pfeiffer} and {Dongkwun Kim} and {Yejoong Kim} and {Zhiyoong Foo} and {Hyeongseok Kim} and {Anthony Grbic} and {Dennis Sylvester} and {Hun-Seok Kim} and {David D. Wentzloff} and {David T. Blaauw}}
}
@article{journals/tcas/JangKKHCPKKFKGS17a,
title = {Circuit and System Designs of Ultra-Low Power Sensor Nodes With Illustration in a Miniaturized GNSS Logger for Position Tracking: Part II - Data Communication, Energy Harvesting, Power Management, and Digital Circuits.},
year = {2017},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Tae-Kwang Jang} and {Gyouho Kim} and {Benjamin P. Kempke} and {Michael B. Henry} and {Nikolaos Chiotellis} and {Carl Pfeiffer} and {Dongkwun Kim} and {Yejoong Kim} and {Zhiyoong Foo} and {Hyeongseok Kim} and {Anthony Grbic} and {Dennis Sylvester} and {Hun-Seok Kim} and {David D. Wentzloff} and {David T. Blaauw}}
}
@inproceedings{conf/isscc/OhSKKKJSB18,
title = {A 2.5nJ duty-cycled bridge-to-digital converter integrated in a 13mm3 pressure-sensing system.},
year = {2018},
booktitle = {ISSCC},
author = {{Sechang Oh} and {Yao Shi 001} and {Gyouho Kim} and {Yejoong Kim} and {Taewook Kang} and {Seokhyeon Jeong} and {Dennis Sylvester} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {2018 IEEE International Solid-State Circuits Conference, ISSCC 2018, San Francisco, CA, USA, February 11-15, 2018}
}
@article{journals/jssc/DongJSKKHMYBS18,
title = {A 4 + 2T SRAM for Searching and In-Memory Computing With 0.3-V VDDmin.},
year = {2018},
journal = {IEEE J. Solid State Circuits},
author = {{Qing Dong 001} and {Supreet Jeloka} and {Mehdi Saligane} and {Yejoong Kim} and {Masaru Kawaminami} and {Akihiko Harada} and {Satoru Miyoshi} and {Makoto Yasuda} and {David T. Blaauw} and {Dennis Sylvester}}
}
@inproceedings{conf/date/OhC0KCLPBYKSB19,
title = {IoT2 - the Internet of Tiny Things: Realizing mm-Scale Sensors through 3D Die Stacking.},
year = {2019},
booktitle = {DATE},
author = {{Sechang Oh} and {Minchang Cho} and {Xiao Wu 002} and {Yejoong Kim} and {Li-Xuan Chuo} and {Wootaek Lim} and {Pat Pannuto} and {Suyoung Bang} and {Kaiyuan Yang 001} and {Hun-Seok Kim} and {Dennis Sylvester} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2019, Florence, Italy, March 25-29, 2019}
}
@inproceedings{conf/isscc/ChoOSLKJCBKS19,
title = {A 142nW Voice and Acoustic Activity Detection Chip for mm-Scale Sensor Nodes Using Time-Interleaved Mixer-Based Frequency Scanning.},
year = {2019},
booktitle = {ISSCC},
author = {{Minchang Cho} and {Sechang Oh} and {Zhan Shi} and {Jongyup Lim} and {Yejoong Kim} and {Seokhyeon Jeong} and {Yu Chen 070} and {David T. Blaauw} and {Hun-Seok Kim} and {Dennis Sylvester}},
publisher = {IEEE},
booktitle = {IEEE International Solid- State Circuits Conference, ISSCC 2019, San Francisco, CA, USA, February 17-21, 2019}
}
@inproceedings{conf/isscc/Choo0KS0SB19,
title = {Energy-Efficient Low-Noise CMOS Image Sensor with Capacitor Array-Assisted Charge-Injection SAR ADC for Motion-Triggered Low-Power IoT Applications.},
year = {2019},
booktitle = {ISSCC},
author = {{Kyojin David Choo} and {Li Xu 006} and {Yejoong Kim} and {Ji-Hwan Seol} and {Xiao Wu 002} and {Dennis Sylvester} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {IEEE International Solid- State Circuits Conference, ISSCC 2019, San Francisco, CA, USA, February 17-21, 2019}
}
@inproceedings{conf/isscc/LeeZ0LSKJLYMKBS19,
title = {A 6.4pJ/Cycle Self-Tuning Cortex-M0 IoT Processor Based on Leakage-Ratio Measurement for Energy-Optimal Operation Across Wide-Range PVT Variation.},
year = {2019},
booktitle = {ISSCC},
author = {{Jeongsup Lee} and {Yiqun Zhang 002} and {Qing Dong 001} and {Wootaek Lim} and {Mehdi Saligane} and {Yejoong Kim} and {Seokhyeon Jeong} and {Jongyup Lim} and {Makoto Yasuda} and {Satoru Miyoshi} and {Masaru Kawaminami} and {David T. Blaauw} and {Dennis Sylvester}},
publisher = {IEEE},
booktitle = {IEEE International Solid- State Circuits Conference, ISSCC 2019, San Francisco, CA, USA, February 17-21, 2019}
}
@inproceedings{conf/isscc/PengCOLJKLBS19,
title = {An Adiabatic Sense and Set Rectifier for Improved Maximum-Power-Point Tracking in Piezoelectric Harvesting with 541\% Energy Extraction Gain.},
year = {2019},
booktitle = {ISSCC},
author = {{Yimai Peng} and {Kyojin David Choo} and {Sechang Oh} and {Inhee Lee} and {Tae-Kwang Jang} and {Yejoong Kim} and {Jongyup Lim} and {David T. Blaauw} and {Dennis Sylvester}},
publisher = {IEEE},
booktitle = {IEEE International Solid- State Circuits Conference, ISSCC 2019, San Francisco, CA, USA, February 17-21, 2019}
}
@inproceedings{conf/vlsic/KangLOJKAKSJSB19,
title = {A 1.74.12 mm3 Fully Integrated pH Sensor for Implantable Applications using Differential Sensing and Drift-Compensation.},
year = {2019},
booktitle = {VLSI Circuits},
author = {{Taewook Kang} and {Inhee Lee} and {Sechang Oh} and {Tae-Kwang Jang} and {Yejoong Kim} and {Hyochan Ahn} and {Gyouho Kim} and {Se-Un Shin} and {Seokhyeon Jeong} and {Dennis Sylvester} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019}
}
@inproceedings{conf/vlsic/LeeMKPB19,
title = {A 10mm3 Light-Dose Sensing IoT2 System With 35-To-339nW 10-To-300klx Light-Dose-To-Digital Converter.},
year = {2019},
booktitle = {VLSI Circuits},
author = {{Inhee Lee} and {Eunseong Moon} and {Yejoong Kim} and {Jamie Phillips} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019}
}
@article{journals/jssc/ChooXKSWSB19,
title = {Energy-Efficient Motion-Triggered IoT CMOS Image Sensor With Capacitor Array-Assisted Charge-Injection SAR ADC.},
year = {2019},
journal = {IEEE J. Solid State Circuits},
author = {{Kyojin David Choo} and {Li Xu 006} and {Yejoong Kim} and {Ji-Hwan Seol} and {Xiao Wu 002} and {Dennis Sylvester} and {David T. Blaauw}}
}
@article{journals/jssc/OhCSLKJCRBKS19,
title = {An Acoustic Signal Processing Chip With 142-nW Voice Activity Detection Using Mixer-Based Sequential Frequency Scanning and Neural Network Classification.},
year = {2019},
journal = {IEEE J. Solid State Circuits},
author = {{Sechang Oh} and {Minchang Cho} and {Zhan Shi} and {Jongyup Lim} and {Yejoong Kim} and {Seokhyeon Jeong} and {Yu Chen 070} and {Rohit Rothe} and {David T. Blaauw} and {Hun-Seok Kim} and {Dennis Sylvester}}
}
@article{journals/jssc/PengCOLJKLBS19,
title = {An Efficient Piezoelectric Energy Harvesting Interface Circuit Using a Sense-and-Set Rectifier.},
year = {2019},
journal = {IEEE J. Solid State Circuits},
author = {{Yimai Peng} and {Kyojin David Choo} and {Sechang Oh} and {Inhee Lee} and {Tae-Kwang Jang} and {Yejoong Kim} and {Jongyup Lim} and {David T. Blaauw} and {Dennis Sylvester}}
}
@inproceedings{conf/asscc/LeeKCYMKBS20,
title = {AµProcessor Layer for mm-Scale Die-Stacked Sensing Platforms Featuring Ultra-Low Power Sleep Mode at 125°C.},
year = {2020},
booktitle = {A-SSCC},
author = {{Jeongsup Lee} and {Yejoong Kim} and {Minchang Cho} and {Makoto Yasuda} and {Satoru Miyoshi} and {Masaru Kawaminami} and {David T. Blaauw} and {Dennis Sylvester}},
publisher = {IEEE},
booktitle = {IEEE Asian Solid-State Circuits Conference, A-SSCC 2020, Virtual Event, Japan, November 9-11, 2020}
}
@inproceedings{conf/vlsic/JeongKKB20,
title = {A Pressure Sensing System with ±0.75 mmHg (3σ) Inaccuracy for Battery-Powered Low Power IoT Applications.},
year = {2020},
booktitle = {VLSI Circuits},
author = {{Seokhyeon Jeong} and {Yejoong Kim} and {Gyouho Kim} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {IEEE Symposium on VLSI Circuits, VLSI Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}
}
@article{journals/jssc/ChuoFKCYMKGWBK20,
title = {Millimeter-Scale Node-to-Node Radio Using a Carrier Frequency-Interlocking IF Receiver for a Fully Integrated 4 \$\\times\$ 4 \$\\times\$ 4 mm3 Wireless Sensor Node.},
year = {2020},
journal = {IEEE J. Solid State Circuits},
author = {{Li-Xuan Chuo} and {Zhen Feng} and {Yejoong Kim} and {Nikolaos Chiotellis} and {Makoto Yasuda} and {Satoru Miyoshi} and {Masaru Kawaminami} and {Anthony Grbic} and {David D. Wentzloff} and {David T. Blaauw} and {Hun-Seok Kim}}
}
@article{journals/jssc/LeeMKBSZDLSKJLY20,
title = {A Self-Tuning IoT Processor Using Leakage-Ratio Measurement for Energy-Optimal Operation.},
year = {2020},
journal = {IEEE J. Solid State Circuits},
author = {{Jeongsup Lee} and {Satoru Miyoshi} and {Masaru Kawaminami} and {David T. Blaauw} and {Dennis Sylvester} and {Yiqun Zhang 002} and {Qing Dong 001} and {Wootaek Lim} and {Mehdi Saligane} and {Yejoong Kim} and {Seokhyeon Jeong} and {Jongyup Lim} and {Makoto Yasuda}}
}
@inproceedings{conf/asscc/JeongKLL21,
title = {A Millimeter-Scale Computing System with Adaptive Dynamic Load Power Tracking.},
year = {2021},
booktitle = {A-SSCC},
author = {{Seokhyeon Jeong} and {Yejoong Kim} and {Yuyang Li 001} and {Inhee Lee}},
publisher = {IEEE},
booktitle = {IEEE Asian Solid-State Circuits Conference, A-SSCC 2021, Busan, Korea, Republic of, November 7-10, 2021}
}
@inproceedings{conf/essderc/LiKMGPL21,
title = {An Energy Autonomous Light Intensity Sensor for Monarch Butterfly Migration Tracking.},
year = {2021},
booktitle = {ESSDERC},
author = {{Yuyang Li 001} and {Yejoong Kim} and {Eunseong Moon} and {Yuxin Gao} and {Jamie Phillips} and {Inhee Lee}},
publisher = {IEEE},
booktitle = {51st IEEE European Solid-State Device Research Conference, ESSDERC 2021, Grenoble, France, September 13-22, 2021}
}
@inproceedings{conf/isscc/0006L0KLYKMBS22,
title = {A 210×340×50µm Integrated CMOS System f0r Micro-Robots with Energy Harvesting, Sensing, Processing, Communication and Actuation.},
year = {2022},
booktitle = {ISSCC},
author = {{Li Xu 006} and {Maya Lassiter} and {Xiao Wu 002} and {Yejoong Kim} and {Jungho Lee} and {Makoto Yasuda} and {Masaru Kawaminami} and {Marc Miskin} and {David T. Blaauw} and {Dennis Sylvester}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2022, San Francisco, CA, USA, February 20-26, 2022}
}
@inproceedings{conf/vlsit/PengCKCTPBBS22,
title = {A 286nW, 103V High Voltage Generator and Multiplexer for Electrostatic Actuation in Programmable Matter.},
year = {2022},
booktitle = {VLSI Technology and Circuits},
author = {{Yimai Peng} and {Gordy Carichner} and {Yejoong Kim} and {Li-Yu Chen} and {Rémy Tribhout} and {Benoît Piranda} and {Julien Bourgeois} and {David T. Blaauw} and {Dennis Sylvester}},
publisher = {IEEE},
booktitle = {IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}
}
@inproceedings{conf/vlsit/PengJCKCR0GOTBH22,
title = {A 184nW, 121µg/√Hz Noise Floor Triaxial MEMS Accelerometer with Integrated CMOS Readout Circuit and Variation-Compensated High Voltage MEMS Biasing.},
year = {2022},
booktitle = {VLSI Technology and Circuits},
author = {{Yimai Peng} and {Seokhyeon Jeong} and {Kyojin Choo} and {Yejoong Kim} and {Li-Yu Chen} and {Rohit Rothe} and {Li Xu 006} and {Ilya Gurin} and {Omid Oliaei} and {Vadim Tsinker} and {Stephen Bart} and {Peter Hartwell} and {David T. Blaauw} and {Dennis Sylvester}},
publisher = {IEEE},
booktitle = {IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}
}
@inproceedings{conf/vlsit/LeeLLSJKKALRVPJ23,
title = {A Wireless Neural Stimulator IC for Cortical Visual Prosthesis.},
year = {2023},
booktitle = {VLSI Technology and Circuits},
author = {{Jungho Lee} and {Joseph G. Letner} and {Jongyup Lim} and {Yi Sun} and {Seokhyeon Jeong} and {Yejoong Kim} and {Beomseo Koo} and {Gabriele Atzeni} and {Jiawei Liao} and {Julianna M. Richie} and {Elena Della Valle} and {Paras R. Patel} and {Taekwang Jang} and {Cynthia A. Chestek} and {Jamie Phillips} and {James D. Weiland} and {Dennis Sylvester} and {Hun-Seok Kim} and {David T. Blaauw}},
publisher = {IEEE},
booktitle = {2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, June 11-16, 2023}
}
@article{journals/jssc/PengCKCTPBBS23,
title = {A High-Voltage Generator and Multiplexer for Electrostatic Actuation in Programmable Matter.},
year = {2023},
journal = {IEEE J. Solid State Circuits},
author = {{Yimai Peng} and {Gordy Carichner} and {Yejoong Kim} and {Li-Yu Chen} and {Rémy Tribhout} and {Benoît Piranda} and {Julien Bourgeois} and {David T. Blaauw} and {Dennis Sylvester}}
}
@article{journals/tvlsi/LiKL23,
title = {A 5-mm2, 4.7-μW Convolutional Neural Network Layer Accelerator for Miniature Systems.},
year = {2023},
month = {December},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Yuyang Li 001} and {Yejoong Kim} and {Inhee Lee}}
}