Yiyu Shi

Orcid: 0000-0002-6788-9823

Affiliations:
  • University of Notre Dame, Sustainable Computing Laboratory, USA
  • Missouri University of Science and Technology, USA (former)
  • University of California, Los Angeles, USA (former)


According to our database1, Yiyu Shi authored at least 296 papers between 2006 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
TinyML Design Contest for Life-Threatening Ventricular Arrhythmia Detection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., January, 2024

Towards Data-center Level Carbon Modeling and Optimization for Deep Learning Inference.
CoRR, 2024

Graph Learning for Parameter Prediction of Quantum Approximate Optimization Algorithm.
CoRR, 2024

Toward Fairness via Maximum Mean Discrepancy Regularization on Logits Space.
CoRR, 2024

EBFT: Effective and Block-Wise Fine-Tuning for Sparse LLMs.
CoRR, 2024

FL-NAS: Towards Fairness of NAS for Resource Constrained Devices via Large Language Models.
CoRR, 2024

Achieve Fairness without Demographics for Dermatological Disease Diagnosis.
CoRR, 2024

U-SWIM: Universal Selective Write-Verify for Computing-in-Memory Neural Accelerators.
CoRR, 2024

SSR: Spatial Sequential Hybrid Architecture for Latency Throughput Tradeoff in Transformer Acceleration.
Proceedings of the 2024 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2024

2023
Self-Supervised On-Device Federated Learning From Unlabeled Streams.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023

A clinically applicable AI system for diagnosis of congenital heart diseases based on computed tomography images.
Medical Image Anal., December, 2023

ImageCAS: A large-scale dataset and benchmark for coronary artery segmentation based on computed tomography angiography images.
Comput. Medical Imaging Graph., October, 2023

The importance of resource awareness in artificial intelligence for healthcare.
Nat. Mac. Intell., July, 2023

An Ultracompact Single-Ferroelectric Field-Effect Transistor Binary and Multibit Associative Search Engine.
Adv. Intell. Syst., July, 2023

Partial Unbalanced Feature Transport for Cross-Modality Cardiac Image Segmentation.
IEEE Trans. Medical Imaging, June, 2023

Life-threatening ventricular arrhythmia detection challenge in implantable cardioverter-defibrillators.
Nat. Mac. Intell., May, 2023

AIGAN: Attention-encoding Integrated Generative Adversarial Network for the reconstruction of low-dose CT and low-dose PET images.
Medical Image Anal., May, 2023

Compute-in-Memory based Neural Network Accelerators for Safety-Critical Systems: Worst-Case Scenarios and Protections.
CoRR, 2023

REFRESH FPGAs: Sustainable FPGA Chiplet Architectures.
CoRR, 2023

RobustState: Boosting Fidelity of Quantum State Preparation via Noise-Aware Variational Training.
CoRR, 2023

Enabling On-Device Large Language Model Personalization with Self-Supervised Data Selection and Synthesis.
CoRR, 2023

A Ferroelectric Compute-in-Memory Annealer for Combinatorial Optimization Problems.
CoRR, 2023

Muffin: A Framework Toward Multi-Dimension AI Fairness by Uniting Off-the-Shelf Models.
CoRR, 2023

Masked Diffusion as Self-supervised Representation Learner.
CoRR, 2023

How to Efficiently Adapt Large Segmentation Model(SAM) to Medical Images.
CoRR, 2023

A Novel Confidence Induced Class Activation Mapping for MRI Brain Tumor Segmentation.
CoRR, 2023

Negative Feedback Training: A Novel Concept to Improve Robustness of NVCiM DNN Accelerators.
CoRR, 2023

Fair Multi-Exit Framework for Facial Attribute Classification.
CoRR, 2023

On the Viability of Using LLMs for SW/HW Co-Design: An Example in Designing CiM DNN Accelerators.
Proceedings of the 36th IEEE International System-on-Chip Conference, 2023

Additional Positive Enables Better Representation Learning for Medical Images.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2023, 2023

MPBD-LSTM: A Predictive Model for Colorectal Liver Metastases Using Time Series Multi-phase Contrast-Enhanced CT Scans.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2023, 2023

Conditional Diffusion Models for Weakly Supervised Medical Image Segmentation.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2023, 2023

Toward Fairness Through Fair Multi-Exit Framework for Dermatological Disease Diagnosis.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2023, 2023

AME-CAM: Attentive Multiple-Exit CAM for Weakly Supervised Segmentation on MRI Brain Tumor.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2023, 2023

Contrastive Image Synthesis and Self-supervised Feature Adaptation for Cross-Modality Biomedical Image Segmentation.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

Improving Realistic Worst-Case Performance of NVCiM DNN Accelerators Through Training with Right-Censored Gaussian Noise.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

DASALS: Differentiable Architecture Search-Driven Approximate Logic Synthesis.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

AccALS: Accelerating Approximate Logic Synthesis by Selection of Multiple Local Approximate Changes.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Muffin: A Framework Toward Multi-Dimension AI Fairness by Uniting Off-the-Shelf Models.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Hybrid Gate-Pulse Model for Variational Quantum Algorithms.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Demo: Addressing Inter-Intra Patient Variability via Personalized Meta-Federated Learning in IoT-Enabled Health Monitoring.
Proceedings of the IEEE/ACM Conference on Connected Health: Applications, 2023

Enhance Regional Wall Segmentation by Style Transfer for Regional Wall Motion Assessment.
Proceedings of the 34th British Machine Vision Conference 2023, 2023

Proof-of-Federated-Learning-Subchain: Free Partner Selection Subchain Based on Federated Learning.
Proceedings of the Fifth International Conference on Blockchain Computing and Applications, 2023

Quantization through Search: A Novel Scheme to Quantize Convolutional Neural Networks in Finite Weight Space.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

Synthetic Data Can Also Teach: Synthesizing Effective Data for Unsupervised Visual Representation Learning.
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023

2022
Low-power object-detection challenge on unmanned aerial vehicles.
Nat. Mac. Intell., December, 2022

Magnetic Core TSV-Inductor Design and Optimization for On-chip DC-DC Converter.
ACM Trans. Design Autom. Electr. Syst., 2022

Personalized Neural Network for Patient-Specific Health Monitoring in IoT: A Metalearning Approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

VisualNet: An End-to-End Human Visual System Inspired Framework to Reduce Inference Latency of Deep Neural Networks.
IEEE Trans. Computers, 2022

Distributed contrastive learning for medical image segmentation.
Medical Image Anal., 2022

DeU-Net 2.0: Enhanced deformable U-Net for 3D cardiac cine MRI segmentation.
Medical Image Anal., 2022

Guest Editorial: ACM JETC Special Issue on Hardware-Aware Learning for Medical Applications.
ACM J. Emerg. Technol. Comput. Syst., 2022

Development of A Real-time POCUS Image Quality Assessment and Acquisition Guidance System.
CoRR, 2022

FedCoCo: A Memory Efficient Federated Self-supervised Framework for On-Device Visual Representation Learning.
CoRR, 2022

ImageCAS: A Large-Scale Dataset and Benchmark for Coronary Artery Segmentation based on Computed Tomography Angiography Images.
CoRR, 2022

QuEst: Graph Transformer for Quantum Circuit Reliability Estimation.
CoRR, 2022

TopGen: Topology-Aware Bottom-Up Generator for Variational Quantum Circuits.
CoRR, 2022

Improving Quantum Classifier Performance in NISQ Computers by Voting Strategy from Ensemble Learning.
CoRR, 2022

Federated Self-Supervised Contrastive Learning and Masked Autoencoder for Dermatological Disease Diagnosis.
CoRR, 2022

Achieving Fairness in Dermatological Disease Diagnosis through Automatic Weight Adjusting Federated Learning and Personalization.
CoRR, 2022

PAN: Pulse Ansatz on NISQ Machines.
CoRR, 2022

A Collaboration Strategy in the Mining Pool for Proof-of-Neural-Architecture Consensus.
CoRR, 2022

On the Reliability of Computing-in-Memory Accelerators for Deep Neural Networks.
CoRR, 2022

OTFPF: Optimal Transport-Based Feature Pyramid Fusion Network for Brain Age Estimation with 3D Overlapped ConvNeXt.
CoRR, 2022

A Semi-Decoupled Approach to Fast and Optimal Hardware-Software Co-Design of Neural Accelerators.
CoRR, 2022

Federated Contrastive Learning for Dermatological Disease Diagnosis via On-device Learning.
CoRR, 2022

Learn by Challenging Yourself: Contrastive Visual Representation Learning with Hard Sample Generation.
CoRR, 2022

Variational Quantum Pulse Learning.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2022

FairPrune: Achieving Fairness Through Pruning for Dermatological Disease Diagnosis.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2022, 2022

RT-DNAS: Real-Time Constrained Differentiable Neural Architecture Search for 3D Cardiac Cine MRI Segmentation.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2022, 2022

Hardware/Software Co-Exploration for Graph Neural Architectures on FPGAs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

Decentralized Unsupervised Learning of Visual Representations.
Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, 2022

Computing-In-Memory Neural Network Accelerators for Safety-Critical Systems: Can Small Device Variations Be Disastrous?
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

TorchQuantum Case Study for Robust Quantum Circuits.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

SWIM: selective write-verify for computing-in-memory neural accelerators.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

The larger the fairer?: small neural networks can achieve fairness for edge devices.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Maiby's Algorithm: A Two-Stage Deep Learning Approach for Murmur Detection in Mel Spectrograms for Automatic Auscultation of Congenital Heart Disease.
Proceedings of the Computing in Cardiology, 2022

ICD-BAS: Detecting Ventricular Arrhythmia using Binary Architecture Search for Implantable Cardioverter Defibrillators.
Proceedings of the IEEE/ACM Conference on Connected Health: Applications, 2022

Unsupervised Feature Clustering Improves Contrastive Representation Learning for Medical Image Segmentation.
Proceedings of the IEEE International Conference on Bioinformatics and Biomedicine, 2022

RADARS: Memory Efficient Reinforcement Learning Aided Differentiable Neural Architecture Search.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
On-device Prior Knowledge Incorporated Learning for Personalized Atrial Fibrillation Detection.
ACM Trans. Embed. Comput. Syst., 2021

Device-Circuit-Architecture Co-Exploration for Computing-in-Memory Neural Accelerators.
IEEE Trans. Computers, 2021

One Proxy Device Is Enough for Hardware-Aware Neural Architecture Search.
Proc. ACM Meas. Anal. Comput. Syst., 2021

DAC-SDC Low Power Object Detection Challenge for UAV Applications.
IEEE Trans. Pattern Anal. Mach. Intell., 2021

Multi-Cycle-Consistent Adversarial Networks for Edge Denoising of Computed Tomography Images.
ACM J. Emerg. Technol. Comput. Syst., 2021

Quantization of Deep Neural Networks for Accurate Edge Computing.
ACM J. Emerg. Technol. Comput. Syst., 2021

Distributed Unsupervised Visual Representation Learning with Fused Features.
CoRR, 2021

Hardware-aware Real-time Myocardial Segmentation Quality Control in Contrast Echocardiography.
CoRR, 2021

Exploration of Quantum Neural Architecture by Mixing Quantum Neuron Designs.
CoRR, 2021

Can Noise on Qubits Be Learned in Quantum Neural Network? A Case Study on QuantumFlow.
CoRR, 2021

ImageTBAD: A 3D Computed Tomography Angiography Image Dataset for Automatic Segmentation of Type-B Aortic Dissection.
CoRR, 2021

Quantization of Deep Neural Networks for Accurate EdgeComputing.
CoRR, 2021

A machine learning-based pulmonary venous obstruction prediction model using clinical data and CT image.
Int. J. Comput. Assist. Radiol. Surg., 2021

AI-CHD: an AI-based framework for cost-effective surgical telementoring of congenital heart disease.
Commun. ACM, 2021

Positional Contrastive Learning for Volumetric Medical Image Segmentation.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2021 - 24th International Conference, Strasbourg, France, September 27, 2021

Federated Contrastive Learning for Volumetric Medical Image Segmentation.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2021 - 24th International Conference, Strasbourg, France, September 27, 2021

EchoCP: An Echocardiography Dataset in Contrast Transthoracic Echocardiography for Patent Foramen Ovale Diagnosis.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2021 - 24th International Conference, Strasbourg, France, September 27, 2021

Semi-supervised Contrastive Learning for Label-Efficient Medical Image Segmentation.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2021 - 24th International Conference, Strasbourg, France, September 27, 2021

Towards Efficient Human-Machine Collaboration: Real-Time Correction Effort Prediction for Ultrasound Data Acquisition.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2021 - 24th International Conference, Strasbourg, France, September 27, 2021

Ct Image Denoising With Encoder-Decoder Based Graph Convolutional Networks.
Proceedings of the 18th IEEE International Symposium on Biomedical Imaging, 2021

Segmentation with Multiple Acceptable Annotations: A Case Study of Myocardial Segmentation in Contrast Echocardiography.
Proceedings of the Information Processing in Medical Imaging, 2021

Learning to Learn Personalized Neural Network for Ventricular Arrhythmias Detection on Intracardiac EGMs.
Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021

Contrastive Learning with Temporal Correlated Medical Images: A Case Study using Lung Segmentation in Chest X-Rays (Invited Paper).
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Federated Contrastive Learning for Dermatological Disease Diagnosis via On-device Learning (Invited Paper).
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Exploration of Quantum Neural Architecture by Mixing Quantum Neuron Designs: (Invited Paper).
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Can Noise on Qubits Be Learned in Quantum Neural Network? A Case Study on QuantumFlow (Invited Paper).
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

A mining pool solution for novel proof-of-neural-architecture consensus.
Proceedings of the IEEE International Conference on Blockchain and Cryptocurrency, 2021

Invited: Hardware-aware Real-time Myocardial Segmentation Quality Control in Contrast Echocardiography.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Enabling On-Device Self-Supervised Contrastive Learning with Selective Data Contrast.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Dancing along Battery: Enabling Transformer with Run-time Reconfigurability on Mobile Devices.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Enabling On-Device Model Personalization for Ventricular Arrhythmias Detection by Generative Adversarial Networks.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

"One-Shot" Reduction of Additive Artifacts in Medical Images.
Proceedings of the IEEE International Conference on Bioinformatics and Biomedicine, 2021

Robustness of Neuromorphic Computing with RRAM-based Crossbars and Optical Neural Networks.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Uncertainty Modeling of Emerging Device based Computing-in-Memory Neural Accelerators with Application to Neural Architecture Search.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Lightweight Run-Time Working Memory Compression for Deployment of Deep Neural Networks on Resource-Constrained MCUs.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

When Machine Learning Meets Quantum Computers: A Case Study.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
Enabling On-Device CNN Training by Self-Supervised Instance Filtering and Error Map Pruning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Dynamic Frequency Scaling Aware Opportunistic Through-Silicon-Via Inductor Utilization in Resonant Clocking.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Binarizing Weights Wisely for Edge Intelligence: Guide for Partial Binarization of Deconvolution-Based Generators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Hardware/Software Co-Exploration of Neural Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Standing on the Shoulders of Giants: Hardware and Neural Architecture Co-Search With Hot Start.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Myocardial Segmentation of Cardiac MRI Sequences with Temporal Consistency for Coronary Artery Disease Diagnosis.
CoRR, 2020

Personalized Deep Learning for Ventricular Arrhythmias Detection on Medical IoT Systems.
CoRR, 2020

Can Quantum Computers Learn Like Classical Computers? A Co-Design Framework for Machine Learning and Quantum Circuits.
CoRR, 2020

Uncertainty-Aware Training of Neural Networks for Selective Medical Image Segmentation.
Proceedings of the International Conference on Medical Imaging with Deep Learning, 2020

MS-NAS: Multi-scale Neural Architecture Search for Medical Image Segmentation.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2020, 2020

ImageCHD: A 3D Computed Tomography Image Dataset for Classification of Congenital Heart Disease.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2020, 2020

ICA-UNet: ICA Inspired Statistical UNet for Real-Time 3D Cardiac Cine MRI Segmentation.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2020, 2020

Orchestrating Medical Image Compression and Remote Segmentation Networks.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2020, 2020

Defending Deep Learning-Based Biomedical Image Segmentation from Adversarial Attacks: A Low-Cost Frequency Refinement Approach.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2020, 2020

Joint Clinical Data and CT Image Based Prognosis: A Case Study on Postoperative Pulmonary Venous Obstruction Prediction.
Proceedings of the Predictive Intelligence in Medicine - Third International Workshop, 2020

DeU-Net: Deformable U-Net for 3D Cardiac MRI Video Segmentation.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2020, 2020

BUNET: Blind Medical Image Segmentation Based on Secure UNET.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2020, 2020

Multi-Cycle-Consistent Adversarial Networks for CT Image Denoising.
Proceedings of the 17th IEEE International Symposium on Biomedical Imaging, 2020

Zero-Shot Medical Image Artifact Reduction.
Proceedings of the 17th IEEE International Symposium on Biomedical Imaging, 2020

Towards Cardiac Intervention Assistance: Hardware-aware Neural Architecture Exploration for Real-Time 3D Cardiac Cine MRI Segmentation.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Personalized Deep Learning for Ventricular Arrhythmias Detection on Medical loT Systems.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Reliable and Robust RRAM-based Neuromorphic Computing.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

NASS: Optimizing Secure Inference via Neural Architecture Search.
Proceedings of the ECAI 2020 - 24th European Conference on Artificial Intelligence, 29 August-8 September 2020, Santiago de Compostela, Spain, August 29 - September 8, 2020, 2020

Statistical Training for Neuromorphic Computing using Memristor-based Crossbars Considering Process Variations and Noise.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Co-Exploration of Neural Architectures and Heterogeneous ASIC Accelerator Designs Targeting Multiple Tasks.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Intermittent Inference with Nonuniformly Compressed Multi-Exit Neural Network for Energy Harvesting Powered Devices.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Revisiting the Evaluation of Uncertainty Estimation and Its Application to Explore Model Complexity-Uncertainty Trade-Off.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

ENSEI: Efficient Secure Inference via Frequency-Domain Homomorphic Convolution for Privacy-Preserving Visual Recognition.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

Do Noises Bother Human and Neural Networks In the Same Way? A Medical Image Analysis Perspective.
Proceedings of the IEEE International Conference on Bioinformatics and Biomedicine, 2020

Co-Exploring Neural Architecture and Network-on-Chip Design for Real-Time Artificial Intelligence.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

When Single Event Upset Meets Deep Neural Networks: Observations, Explorations, and Remedies.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Single-Inductor-Multiple-Tier Regulation: TSV-Inductor-Based On-Chip Buck Converters for 3-D IC Power Delivery.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Achieving Super-Linear Speedup across Multi-FPGA for Real-Time DNN Inference.
ACM Trans. Embed. Comput. Syst., 2019

A Face Alignment Accelerator Based on Optimized Coarse-to-Fine Shape Searching.
IEEE Trans. Circuits Syst. Video Technol., 2019

From Layout to System: Early Stage Power Delivery and Architecture Co-Exploration.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

EffiTest2: Efficient Delay Test and Prediction for Post-Silicon Clock Skew Configuration Under Process Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

MDA: A Reconfigurable Memristor-Based Distance Accelerator for Time Series Mining on Data Centers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Entropy Production-Based Full-Chip Fatigue Analysis: From Theory to Mobile Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Optimal design of a low-power, phase-switching modulator for implantable medical applications.
Integr., 2019

On Neural Architecture Search for Resource-Constrained Hardware Platforms.
CoRR, 2019

Hardware/Software Co-Exploration of Neural Architectures.
CoRR, 2019

Real-Time Boiler Control Optimization with Machine Learning.
CoRR, 2019

Evaluation of Neural Network Uncertainty Estimation with Application to Resource-Constrained Platforms.
CoRR, 2019

A Novel Unsupervised Approach for Precise Temporal Slot Filling from Incomplete and Noisy Temporal Contexts.
Proceedings of the World Wide Web Conference, 2019

Faceted Hierarchy: A New Graph Type to Organize Scientific Concepts and a Construction Method.
Proceedings of the Thirteenth Workshop on Graph-Based Methods for Natural Language Processing, 2019

Accurate Congenital Heart Disease Model Generation for 3D Printing.
Proceedings of the 2019 IEEE International Workshop on Signal Processing Systems, 2019

Whole Heart and Great Vessel Segmentation in Congenital Heart Disease Using Deep Neural Networks and Graph Matching.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2019, 2019

MSU-Net: Multiscale Statistical U-Net for Real-Time 3D Cardiac MRI Video Segmentation.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2019, 2019

When Neural Architecture Search Meets Hardware Implementation: from Hardware Awareness to Co-Design.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Power Delivery Resonant Virus: Concept and Applications.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

Real-Time Adversarial Attacks.
Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, 2019

On the Universal Approximability and Complexity Bounds of Quantized ReLU Neural Networks.
Proceedings of the 7th International Conference on Learning Representations, 2019

Privacy-Aware Cost-Effective Scheduling Considering Non-Schedulable Appliances in Smart Home.
Proceedings of the 15th IEEE International Conference on Embedded Software and Systems, 2019

Energy-recycling Blockchain with Proof-of-Deep-Learning.
Proceedings of the IEEE International Conference on Blockchain and Cryptocurrency, 2019

XFER: A Novel Design to Achieve Super-Linear Performance on Multiple FPGAs for Real-Time AI.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019

Accuracy vs. Efficiency: Achieving Both through FPGA-Implementation Aware Neural Architecture Search.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Machine Vision Guided 3D Medical Image Compression for Efficient Transmission and Accurate Segmentation in the Clouds.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2019

Exploiting Computation Power of Blockchain for Biomedical Image Segmentation.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition Workshops, 2019

SCNN: A General Distribution Based Statistical Convolutional Neural Network with Application to Video Object Detection.
Proceedings of the Thirty-Third AAAI Conference on Artificial Intelligence, 2019

2018
Fast and Accurate Emissivity and Absolute Temperature Maps Measurement for Integrated Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Combating Data Leakage Trojans in Commercial and ASIC Applications With Time-Division Multiplexing and Random Encoding.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Triggered-Issuance and Triggered-Execution: A Control Paradigm to Minimize Pipeline Stalls in Distributed Controlled Coarse-Grained Reconfigurable Arrays.
IEEE Trans. Parallel Distributed Syst., 2018

Design-Phase Buffer Allocation for Post-Silicon Clock Binning by Iterative Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Accelerating Dynamic Time Warping With Memristor-Based Customized Fabrics.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

A Novel Fully Synthesizable All-Digital RF Transmitter for IoT Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

On Random Dynamic Voltage Scaling for Internet-of-Things: A Game-Theoretic Approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

A Multi-Level-Optimization Framework for FPGA-Based Cellular Neural Network Implementation.
ACM J. Emerg. Technol. Comput. Syst., 2018

Efficient Hardware Implementation of Cellular Neural Networks with Incremental Quantization and Early Exit.
ACM J. Emerg. Technol. Comput. Syst., 2018

A physics-aware methodology for equivalent circuit model extraction of TSV-inductors.
Integr., 2018

PBGAN: Partial Binarization of Deconvolution Based Generators.
CoRR, 2018

On the Universal Approximability of Quantized ReLU Neural Networks.
CoRR, 2018

Resource constrained cellular neural networks for real-time obstacle detection using FPGAs.
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018

Modeling and optimization of magnetic core TSV-inductor for on-chip DC-DC converter.
Proceedings of the International Conference on Computer-Aided Design, 2018

Quantization of Fully Convolutional Networks for Accurate Biomedical Image Segmentation.
Proceedings of the 2018 IEEE Conference on Computer Vision and Pattern Recognition, 2018

Optimizing Boiler Control in Real-Time with Machine Learning for Sustainability.
Proceedings of the 27th ACM International Conference on Information and Knowledge Management, 2018

2017
Demand-Side Management of Domestic Electric Water Heaters Using Approximate Dynamic Programming.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

A routing framework for technology migration with bump encroachment.
Integr., 2017

Application of machine learning methods in post-silicon yield improvement.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

Generative adversarial network based scalable on-chip noise sensor placement.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

Resource constrained real-time lane-vehicle detection for advanced driver assistance on mobile devices.
Proceedings of the Symposium on Applied Computing, 2017

Efficient hardware implementation of cellular neural networks with powers-of-two based incremental quantization.
Proceedings of the Neuromorphic Computing Symposium, 2017

FPGA based cellular neural network optimization: from design space to system.
Proceedings of the Neuromorphic Computing Symposium, 2017

Edge segmentation: Empowering mobile telemedicine with compressed cellular neural networks.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Combating Data Leakage Trojans in Sequential Circuits Through Randomized Encoding.
Proceedings of the 15th IEEE Intl Conf on Dependable, 2017

An Efficient Memristor-based Distance Accelerator for Time Series Data Mining on Data Centers.
Proceedings of the 54th Annual Design Automation Conference, 2017

CN-SIM: A cycle-accurate full system power delivery noise simulator.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

Pattern based runtime voltage emergency prediction: An instruction-aware block sparse compressed sensing approach.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Analytical Clustering Score with Application to Postplacement Register Clustering.
ACM Trans. Design Autom. Electr. Syst., 2016

Obstacle-Avoiding Wind Turbine Placement for Power Loss and Wake Effect Optimization.
ACM Trans. Design Autom. Electr. Syst., 2016

On the Optimal Threshold Voltage Computation of On-Chip Noise Sensors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Selective body biasing for post-silicon tuning of sub-threshold designs: A semi-infinite programming approach with Incremental Hypercubic Sampling.
Integr., 2016

On the measurement of power grid robustness under load uncertainties.
Proceedings of the 2016 IEEE International Conference on Smart Grid Communications, 2016

Privacy protection via appliance scheduling in smart homes.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

RECORD: Temporarily Randomized Encoding of COmbinational Logic for Resistance to Data Leakage from hardware Trojan.
Proceedings of the 2016 IEEE Asian Hardware-Oriented Security and Trust, 2016

A novel cross-layer framework for early-stage power delivery and architecture co-exploration.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Novel applications of deep learning hidden features for adaptive testing.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
HS3-DPG: Hierarchical Simulation for 3-D P/G Network.
IEEE Trans. Very Large Scale Integr. Syst., 2015

On the Efficacy of Through-Silicon-Via Inductors.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Fast Random Walk Based Capacitance Extraction for the 3-D IC Structures With Cylindrical Inter-Tier-Vias.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Selective Body Biasing for Post-Silicon Tuning of Sub-Threshold Designs: An Adaptive Filtering Approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Novel Spare TSV Deployment for 3-D ICs Considering Yield and Timing Constraints.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Introduction to: Special Issue on Cross-Layer System Design.
ACM J. Emerg. Technol. Comput. Syst., 2015

Multi-threading based parallel dynamic simulator for transient behavior analysis of power systems.
Proceedings of the 2015 IEEE International Conference on Smart Grid Communications, 2015

Analytical Clustering Score with Application to Post-Placement Multi-Bit Flip-Flop Merging.
Proceedings of the 2015 Symposium on International Symposium on Physical Design, ISPD 2015, Monterey, CA, USA, March 29, 2015

Q-Learning Based Dynamic Voltage Scaling for Designs with Graceful Degradation.
Proceedings of the 2015 Symposium on International Symposium on Physical Design, ISPD 2015, Monterey, CA, USA, March 29, 2015

Optimal selected phasor measurement units for identifying multiple line outages in smart grid.
Proceedings of the 2015 IEEE Power & Energy Society Innovative Smart Grid Technologies Conference, 2015

A Novel Entropy Production Based Full-Chip TSV Fatigue Analysis.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

1-Bit Compressed Sensing Based Framework for Built-in Resonance Frequency Prediction Using On-Chip Noise Sensors.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Effective CAD Research in the Sea of Papers.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Impact assessment of net metering on smart home cyberattack detection.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Runtime Self-Calibrated Temperature-Stress Cosensor for 3-D Integrated Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Exploring demand flexibility in heterogeneous aggregators: An LMP-based pricing scheme.
ACM Trans. Embed. Comput. Syst., 2014

On the Deployment of On-Chip Noise Sensors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Multibit Retention Registers for Power Gated Designs: Concept, Design, and Deployment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Novel Through-Silicon-Via Inductor-Based On-Chip DC-DC Converter Designs in 3D ICs.
ACM J. Emerg. Technol. Comput. Syst., 2014

Variability-Aware Parametric Yield Estimation for Analog/Mixed-Signal Circuits: Concepts, Algorithms, and Challenges.
IEEE Des. Test, 2014

A novel grid load management technique using electric water heaters and Q-learning.
Proceedings of the 2014 IEEE International Conference on Smart Grid Communications, 2014

Optimal PMU placement for identification of multiple power line outages in smart grids.
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014

"Green" On-chip Inductors in Three-Dimensional Integrated Circuits.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Ambiguity group based location recognition for multiple power line outages in smart grids.
Proceedings of the IEEE PES Innovative Smart Grid Technologies Conference, 2014

Random walk based capacitance extraction for 3D ICs with cylindrical inter-tier-vias.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Real time anomaly detection in wide area monitoring of smart grids.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Variation aware optimal threshold voltage computation for on-chip noise sensors.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Opportunistic through-silicon-via inductor utilization in LC resonant clocks: concept and algorithms.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Phasor Measurement Unit Placement for Identifying Power Line Outages in Wide-Area Transmission System Monitoring.
Proceedings of the 47th Hawaii International Conference on System Sciences, 2014

MSim: A general cycle accurate simulation platform for memcomputing studies.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Memcomputing: The cape of good hope: [Extended special session description].
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Yield and timing constrained spare TSV assignment for three-dimensional integrated circuits.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Critical Path Monitor Enabled Dynamic Voltage Scaling for Graceful Degradation in Sub-Threshold Designs.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Through-silicon-via inductor: Is it real or just a fantasy?
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Order statistics for correlated random variables and its application to at-speed testing.
ACM Trans. Design Autom. Electr. Syst., 2013

An Analytical Placement Framework for 3-D ICs and Its Extension on Thermal Awareness.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Through-Silicon Via Fault-Tolerant Clock Networks for 3-D ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

On the futility of thermal through-silicon-vias.
Proceedings of the 2013 International Symposium on VLSI Design, Automation, and Test, 2013

FF-bond: multi-bit flip-flop bonding at placement.
Proceedings of the International Symposium on Physical Design, 2013

Benchmarking for research in power delivery networks of three-dimensional integrated circuits.
Proceedings of the International Symposium on Physical Design, 2013

Novel crack sensor for TSV-based 3D integrated circuits: design and deployment perspectives.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Eagle-eye: a near-optimal statistical framework for noise sensor placement.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

HS3DPG: Hierarchical simulation for 3D P/G network.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Worst-Case Estimation for Data-Dependent Timing Jitter and Amplitude Noise in High-Speed Differential Link.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Measurement and Evaluation of Power Analysis Attacks on Asynchronous S-Box.
IEEE Trans. Instrum. Meas., 2012

Efficient Wakeup Scheduling Considering Both Resource Usage and Timing Budget for Power Gating Designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

A master-slave SoC structure for HMM based speech recognition.
Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, 2012

Reliable Power Delivery System Design for Three-Dimensional Integrated Circuits (3D ICs).
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012

Efficient on-line module-level wake-up scheduling for high performance multi-module designs.
Proceedings of the International Symposium on Physical Design, 2012

Utilizing random noise in cryptography: Where is the Tofu?
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

Efficient multiple-bit retention register assignment for power gated design: Concept and algorithms.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

Capturing the phantom of the power grid - on the runtime adaptive techniques for noise reduction.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
Runtime Resonance Noise Reduction with Current Prediction Enabled Frequency Actuator.
IEEE Trans. Very Large Scale Integr. Syst., 2011

System-in-Package: Electrical and Layout Perspectives.
Found. Trends Electron. Des. Autom., 2011

Dual-pricing policy for controller-side strategies in demand side management.
Proceedings of the IEEE Second International Conference on Smart Grid Communications, 2011

Contingency constrained economic dispatch in smart grids with correlated demands.
Proceedings of the IEEE Second International Conference on Smart Grid Communications, 2011

TSV fault-tolerant mechanisms with application to 3D clock networks.
Proceedings of the International SoC Design Conference, 2011

On the preconditioner of conjugate gradient method - A power grid simulation perspective.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Fault-tolerant 3D clock network.
Proceedings of the 48th Design Automation Conference, 2011

Thermal-aware cell and through-silicon-via co-placement for 3D ICs.
Proceedings of the 48th Design Automation Conference, 2011

2010
Fast Analysis of a Large-Scale Inductive Interconnect by Block-Structure-Preserved Macromodeling.
IEEE Trans. Very Large Scale Integr. Syst., 2010

EMPIRE: An Efficient and Compact Multiple-Parameterized Model-Order Reduction Method for Physical Optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Modeling and design for beyond-the-die power integrity.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

A universal state-of-charge algorithm for batteries.
Proceedings of the 47th Design Automation Conference, 2010

QuickYield: an efficient global-search based parametric yield estimation with performance constraints.
Proceedings of the 47th Design Automation Conference, 2010

2009
Worst case timing jitter and amplitude noise in differential signaling.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

Joint design-time and post-silicon optimization for digitally tuned analog circuits.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

Pre-ATPG path selection for near optimal post-ATPG process space coverage.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

Statistical multilayer process space coverage for at-speed test.
Proceedings of the 46th Design Automation Conference, 2009

Incremental and on-demand random walk for iterative power distribution network analysis.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

Stochastic current prediction enabled frequency actuator for runtime resonance noise reduction.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
Thermal Via Allocation for 3-D ICs Considering Temporally and Spatially Variant Thermal Power.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Efficient Decoupling Capacitance Budgeting Considering Operation and Process Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Temperature-aware clock tree synthesis considering spatiotemporal hot spot correlations.
Proceedings of the 26th International Conference on Computer Design, 2008

Scalable Symbolic Model Order Reduction.
Proceedings of the 2008 IEEE International Behavioral Modeling and Simulation Workshop, 2008

2007
Circuit-simulated obstacle-aware Steiner routing.
ACM Trans. Design Autom. Electr. Syst., 2007

Empire: an efficient and compact multiple-parameterized model order reduction method.
Proceedings of the 2007 International Symposium on Physical Design, 2007

2006
SAMSON: a generalized second-order arnoldi method for reducing multiple source linear network with susceptance.
Proceedings of the 2006 International Symposium on Physical Design, 2006

Thermal via allocation for 3D ICs considering temporally and spatially variant thermal power.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

A fast block structure preserving model order reduction for inverse inductance circuits.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Fast analysis of structured power grid by triangularization based structure preserving model order reduction.
Proceedings of the 43rd Design Automation Conference, 2006

Circuit simulation based obstacle-aware Steiner routing.
Proceedings of the 43rd Design Automation Conference, 2006

CDCTree: novel obstacle-avoiding routing tree construction based on current driven circuit model.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

DraXRouter: global routing in X-Architecture with dynamic resource assignment.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006


  Loading...