Yongxin Zhu

Orcid: 0000-0002-1813-1792

Affiliations:
  • Chinese Academy of Sciences, Shanghai Advanced Research Institute, China
  • Shanghai Jiao Tong University, School of Microelectronics, China (until 2017)
  • National University of Singapore, Singapore (PhD 2001)


According to our database1, Yongxin Zhu authored at least 153 papers between 2004 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
I/O-efficient GPU-based acceleration of coherent dedispersion for pulsar observation.
J. Syst. Archit., September, 2023

Enabling zero knowledge proof by accelerating zk-SNARK kernels on GPU.
J. Parallel Distributed Comput., March, 2023

When quantum annealing meets multitasking: Potentials, challenges and opportunities.
Array, March, 2023

Optimized CPU-GPU collaborative acceleration of zero-knowledge proof for confidential transactions.
J. Syst. Archit., February, 2023

A Deeply Supervised Attentive High-Resolution Network for Change Detection in Remote Sensing Images.
Remote. Sens., January, 2023

Federated-Learning-Based Synchrotron X-Ray Microdiffraction Image Screening for Industry Materials.
IEEE Trans. Ind. Informatics, 2023

Bitformer: An efficient Transformer with bitwise operation-based attention for Big Data Analytics at low-cost low-precision devices.
CoRR, 2023

An Efficient Transformer with Distance-aware Attention.
Proceedings of the 9th Intl Conference on Big Data Security on Cloud, 2023

2022
An Improved Federated Learning Algorithm for Privacy Preserving in Cybertwin-Driven 6G System.
IEEE Trans. Ind. Informatics, 2022

A Universal RRAM-Based DNN Accelerator With Programmable Crossbars Beyond MVM Operator.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Multiscale deep network based multistep prediction of high-dimensional time series from power transmission systems.
Trans. Emerg. Telecommun. Technol., 2022

Transformer anomaly detection based on time-frequency domain software-hardware cooperative analysis.
Trans. Emerg. Telecommun. Technol., 2022

Parallel Pileup Correction for Nuclear Spectrometric Data on Many-Core Accelerators.
Proceedings of the Smart Computing and Communication - 7th International Conference, 2022

Federated-Learning-based Hierarchical Diagnosis of Liver Fibrosis.
Proceedings of the 7th IEEE International Conference on Smart Cloud, SmartCloud 2022, 2022

CryptoLight: An Electro-Optical Accelerator for Fully Homomorphic Encryption.
Proceedings of the 17th ACM International Symposium on Nanoscale Architectures, 2022

Message from the Program Chairs BigDataSecurity 2022.
Proceedings of the 8th IEEE Intl Conference on Big Data Security on Cloud, 2022

2021
An Efficient Small Traffic Sign Detection Method Based on YOLOv3.
J. Signal Process. Syst., 2021

A 13-Bit, 12-ps Resolution Vernier Time-to-Digital Converter Based on Dual Delay-Rings for SPAD Image Sensor.
Sensors, 2021

SparkNoC: An energy-efficiency FPGA-based accelerator using optimized lightweight CNN for edge computing.
J. Syst. Archit., 2021

A Novel Client Sampling Scheme for Unbalanced Data Distribution Under Federated Learning.
Proceedings of the Smart Computing and Communication - 6th International Conference, 2021

CPU-GPU Collaborative Acceleration of Bulletproofs - A Zero-Knowledge Proof Algorithm.
Proceedings of the 2021 IEEE Intl Conf on Parallel & Distributed Processing with Applications, Big Data & Cloud Computing, Sustainable Computing & Communications, Social Computing & Networking (ISPA/BDCloud/SocialCom/SustainCom), New York City, NY, USA, September 30, 2021

Image Distillation Based Screening for X-ray Crystallography Diffraction Images.
Proceedings of the 2021 IEEE Intl Conf on Parallel & Distributed Processing with Applications, Big Data & Cloud Computing, Sustainable Computing & Communications, Social Computing & Networking (ISPA/BDCloud/SocialCom/SustainCom), New York City, NY, USA, September 30, 2021

Custom Computing Design and Implementation for Multiple Dedispersion with GPU.
Proceedings of the 8th IEEE International Conference on Cyber Security and Cloud Computing, 2021

A High-Efficiency Charge Pump for AMOLED Display Driver IC.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

A 6-bit, 1GS/s Digital to Analog Converter for Automotive Ethernet PHY.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

2020
Mapping Large LSTMs to FPGAs with Weight Reuse.
J. Signal Process. Syst., 2020

Anomaly Detection Based on RBM-LSTM Neural Network for CPS in Advanced Driver Assistance System.
ACM Trans. Cyber Phys. Syst., 2020

Accelerating Faceting Wide-Field Imaging Algorithm with FPGA for SKA Radio Telescope as a Vast Sensor Array.
Sensors, 2020

Millimeter wave based 3D clustered MIMO channel modeling and system simulation for vehicle-to-vehicle communication.
Phys. Commun., 2020

A geographical hierarchy greedy routing strategy for vehicular big data communications over millimeter wave.
Phys. Commun., 2020

Handling noise in image deblurring via joint learning.
CoRR, 2020

Astronomical Data Preprocessing Implementation Based on FPGA and Data Transformation Strategy for the FAST Telescope as a Giant CPS.
IEEE Access, 2020

FPGA-Based Scale-Out Prototyping of Degridding Algorithm for Accelerating Square Kilometre Array Telescope Data Processing.
IEEE Access, 2020

Design of a Hardware Accelerator for Zero-Knowledge Proof in Blockchains.
Proceedings of the Smart Computing and Communication - 5th International Conference, 2020

Reliable SoC Design and Implementation of SHA-3-HMAC Algorithm with Attack Protection.
Proceedings of the IEEE International Conference on Smart Cloud, 2020

FPGA-based Hardware Acceleration for Image Copyright Protection Syetem Based on Blockchain.
Proceedings of the 7th IEEE International Conference on Cyber Security and Cloud Computing, 2020

Parallel Multi-threaded Gridrec Algorithm for Computer Tomography on GPU for Edge Computing.
Proceedings of the 7th IEEE International Conference on Cyber Security and Cloud Computing, 2020

2019
Enhancing Accuracy and Dynamic Range of Scientific Data Analytics by Implementing Posit Arithmetic on FPGA.
J. Signal Process. Syst., 2019

Sustainable Computing Based Deep Learning Framework for Writing Research Manuscripts.
IEEE Trans. Sustain. Comput., 2019

A Novel Resistive Memory-based Process-in-memory Architecture for Efficient Logic and Add Operations.
ACM Trans. Design Autom. Electr. Syst., 2019

A Dependable Time Series Analytic Framework for Cyber-Physical Systems of IoT-based Smart Grid.
ACM Trans. Cyber Phys. Syst., 2019

Secure big data communication for energy efficient intra-cluster in WSNs.
Inf. Sci., 2019

Design and implementation of reconfigurable acceleration for in-memory distributed big data computing.
Future Gener. Comput. Syst., 2019

Recognizing Facial Expressions Using a Shallow Convolutional Neural Network.
IEEE Access, 2019

A Lossless Astronomical Data Compression Scheme with FPGA Acceleration.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

An FPGA-based Hardware Acceleration For Key Steps of Facet Imaging Algorithm.
Proceedings of the IEEE International Conference on Smart Cloud, 2019

Real-Time Anomaly Detection for Flight Testing Using AutoEncoder and LSTM.
Proceedings of the International Conference on Field-Programmable Technology, 2019


Efficient Weight Reuse for Large LSTMs.
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019

Improved Convolutional Neutral Network Based Model for Small Visual Object Detection in Autonomous Driving.
Proceedings of the IEEE International Conference on Artificial Intelligence Circuits and Systems, 2019

2018
A Query-oriented Adaptive Indexing Technique for Smart Grid Big Data Analytics.
J. Signal Process. Syst., 2018

A Self-Powered 3.26-µW 70-m Wireless Temperature Sensor Node for Power Grid Monitoring.
IEEE Trans. Ind. Electron., 2018

A Hardware Pipeline with High Energy and Resource Efficiency for FMM Acceleration.
ACM Trans. Embed. Comput. Syst., 2018

Statistical Learning for Anomaly Detection in Cloud Server Systems: A Multi-Order Markov Chain Framework.
IEEE Trans. Cloud Comput., 2018

Effective Prediction of Missing Data on Apache Spark over Multivariable Time Series.
IEEE Trans. Big Data, 2018

Improved Weighted Label Propagation Algorithm in Social Network Computing.
Proceedings of the 17th IEEE International Conference On Trust, 2018

A Case Study of Accelerating Apache Spark with FPGA.
Proceedings of the 17th IEEE International Conference On Trust, 2018

Correlation Coefficient Based Cluster Data Preprocessing and LSTM Prediction Model for Time Series Data in Large Aircraft Test Flights.
Proceedings of the Smart Computing and Communication - Third International Conference, 2018

Reconfigurable Hardware Generation for Tensor Flow Models of CNN Algorithms on a Heterogeneous Acceleration Platform.
Proceedings of the Smart Computing and Communication - Third International Conference, 2018

FPGA Acceleration of LSTM Based on Data for Test Flight.
Proceedings of the 2018 IEEE International Conference on Smart Cloud, 2018

Improving Prediction Accuracy in LSTM Network Model for Aircraft Testing Flight Data.
Proceedings of the 2018 IEEE International Conference on Smart Cloud, 2018

Custom machine learning architectures: towards realtime anomaly detection for flight testing.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

2017
An Integrated Data Preprocessing Framework Based on Apache Spark for Fault Diagnosis of Power Grid Equipment.
J. Signal Process. Syst., 2017

An FPGA-Based Cloud System for Massive ECG Data Analysis.
IEEE Trans. Circuits Syst. II Express Briefs, 2017

Dynamic application allocation with resource balancing on NoC based many-core embedded systems.
J. Syst. Archit., 2017

An energy-efficient system on a programmable chip platform for cloud applications.
J. Syst. Archit., 2017

Synergistic design of an application-oriented sparse directory on many-core embedded systems.
J. Syst. Archit., 2017

A Vector-Quantization Compression Circuit With On-Chip Learning Ability for High-Speed Image Sensor.
IEEE Access, 2017

A Scheduling Scheme of Task Allocation in Real Time Multiple-Partition Embedded Avionic.
Proceedings of the 2017 IEEE International Conference on Smart Cloud, 2017

A Performance-Improved and Storage-Efficient Secondary Index for Big Data Processing.
Proceedings of the 2017 IEEE International Conference on Smart Cloud, 2017

A Visual Model-Based Evaluation Framework of Cloud-Based Prognostics and Health Management.
Proceedings of the 2017 IEEE International Conference on Smart Cloud, 2017

Addressing Inefficiency of Floating-Point Operations in Cloud Computing: Implementation and a Case Study of Variable Precision Computing.
Proceedings of the 2017 IEEE International Conference on Smart Cloud, 2017

Integrated Quality Mesh Generation for Poisson Surface Reconstruction in HPC Applications.
Proceedings of the 19th IEEE International Conference on High Performance Computing and Communications; 15th IEEE International Conference on Smart City; 3rd IEEE International Conference on Data Science and Systems, 2017

Tackling Gaps in Floating-Point Arithmetic: Unum Arithmetic Implementation on FPGA.
Proceedings of the 19th IEEE International Conference on High Performance Computing and Communications; 15th IEEE International Conference on Smart City; 3rd IEEE International Conference on Data Science and Systems, 2017

Exploring High Efficiency Hardware Accelerator for the Key Algorithm of Square Kilometer Array Telescope Data Processing.
Proceedings of the 25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2017

Enhancing Precision and Bandwidth in Cloud Computing: Implementation of a Novel Floating-Point Format on FPGA.
Proceedings of the 4th IEEE International Conference on Cyber Security and Cloud Computing, 2017

2016
A Real-Time FPGA-Based Accelerator for ECG Analysis and Diagnosis Using Association-Rule Mining.
ACM Trans. Embed. Comput. Syst., 2016

Intrusion detection techniques for mobile cloud computing in heterogeneous 5G.
Secur. Commun. Networks, 2016

A comprehensive reconfigurable computing approach to memory wall problem of large graph computation.
J. Syst. Archit., 2016

Anomaly detection and identification scheme for VM live migration in cloud infrastructure.
Future Gener. Comput. Syst., 2016

Temporal Dynamic Matrix Factorization for Missing Data Prediction in Large Scale Coevolving Time Series.
IEEE Access, 2016

A Versatile Event-Driven Data Model in HBase Database for Multi-source Data of Power Grid.
Proceedings of the 2016 IEEE International Conference on Smart Cloud, 2016

Parallel Discord Discovery.
Proceedings of the Advances in Knowledge Discovery and Data Mining, 2016

DAG Constrained Scheduling Prototype for an Astronomy Exa-Scale HPC Application.
Proceedings of the 18th IEEE International Conference on High Performance Computing and Communications; 14th IEEE International Conference on Smart City; 2nd IEEE International Conference on Data Science and Systems, 2016

Finding All-One Hyper-Submatrix of an Incidence Matrix.
Proceedings of the 18th IEEE International Conference on High Performance Computing and Communications; 14th IEEE International Conference on Smart City; 2nd IEEE International Conference on Data Science and Systems, 2016

Evaluation of variable precision computing with variable precision FFT implementation on FPGA.
Proceedings of the 2016 International Conference on Field-Programmable Technology, 2016

Combining the histogram method and the ultrafast segmented model identification of linearity errors algorithm for ADC linearity testing.
Proceedings of the 21th IEEE European Test Symposium, 2016

Incomplete electrocardiogram time series prediction.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

Quantitative Analysis of Graph Algorithms: Models and Optimization Methods.
Proceedings of the 2nd IEEE International Conference on Big Data Security on Cloud, 2016

2015
Improving phasor data concentrators reliability for smart grid.
Trans. Emerg. Telecommun. Technol., 2015

A Provenance Scheme for Emerging Water Contaminants.
Proceedings of the UKSim-AMSS 17th International Conference on Computer Modelling and Simulation, 2015

An optimization scheme in wireless temperature measuring sensor network.
Proceedings of the 12th IEEE International Conference on Networking, Sensing and Control, 2015

J-Distance Discord: An Improved Time Series Discord Definition and Discovery Method.
Proceedings of the IEEE International Conference on Data Mining Workshop, 2015

An Improved Machine Learning Scheme for Data-Driven Fault Diagnosis of Power Grid Equipment.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Distributed Discord Discovery: Spark Based Anomaly Detection in Time Series.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

A Dynamic Contention-aware Application Allocation Algorithm for Many-core Processor.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

An Improved Localization Framework Based on Maximum Likelihood for Blind WSN Nodes.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Addressing Memory Wall Problem of Graph Computation in Reconfigurable System.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Improving Power Grid Monitoring Data Quality: An Efficient Machine Learning Framework for Missing Data Prediction.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Designing ARINC653 Partition Constrained Scheduling for Secure Real Time Embedded Avionics.
Proceedings of the IEEE 2nd International Conference on Cyber Security and Cloud Computing, 2015

2014
A scalable embedded system for massive medical signal processing.
Proceedings of the IEEE 12th International New Circuits and Systems Conference, 2014

Online Data Allocation for Hybrid Memories on Embedded Tele-health Systems.
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014

An FPGA-Assisted Cloud Framework for Massive ECG Signal Processing.
Proceedings of the IEEE 12th International Conference on Dependable, 2014

2013
Extending Amdahl's law and Gustafson's law by evaluating interconnections on multi-core processors.
J. Supercomput., 2013

Thermal-aware task scheduling in 3D chip multiprocessor with real-time constrained workloads.
ACM Trans. Embed. Comput. Syst., 2013

Informer homed routing fault tolerance mechanism for wireless sensor networks.
J. Syst. Archit., 2013

Clustering scheduling for hardware tasks in reconfigurable computing systems.
J. Syst. Archit., 2013

A Case Study of Sensor Data Collection and Analysis in Smart City: Provenance in Smart Food Supply Chain.
Int. J. Distributed Sens. Networks, 2013

An Intelligent Anomaly Detection and Reasoning Scheme for VM Live Migration via Cloud Data Mining.
Proceedings of the 25th IEEE International Conference on Tools with Artificial Intelligence, 2013

An Efficient Power-Aware Resource Scheduling Strategy in Virtualized Datacenters.
Proceedings of the 19th IEEE International Conference on Parallel and Distributed Systems, 2013

A Reconfigurable Architecture for 1-D and 2-D Discrete Wavelet Transform.
Proceedings of the 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2013

An FPGA Based PCI-E Root Complex Architecture for Standalone SOPCs.
Proceedings of the 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2013

A Multi-order Markov Chain Based Scheme for Anomaly Detection.
Proceedings of the IEEE 37th Annual Computer Software and Applications Conference, 2013

An LOF-Based Adaptive Anomaly Detection Scheme for Cloud Computing.
Proceedings of the IEEE 37th Annual Computer Software and Applications Conference, 2013

2012
Three-Phase Algorithms for Task Scheduling in Distributed Mobile DSP System with Lifetime Constraints.
J. Signal Process. Syst., 2012

On Robust Hybrid Force/Motion Control Strategies Based on Actuator Dynamics for Nonholonomic Mobile Manipulators.
J. Appl. Math., 2012

Optimizing Scheduling in Embedded CMP Systems with Phase Change Memory.
Proceedings of the 18th IEEE International Conference on Parallel and Distributed Systems, 2012

A Case Study of CPNS Intelligence: Provenance Reasoning over Tracing Cross Contamination in Food Supply Chain.
Proceedings of the 32nd International Conference on Distributed Computing Systems Workshops (ICDCS 2012 Workshops), 2012

Peak Temperature Minimization for Embedded Systems with DVS Transition Overhead Consideration.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

Prototyping Efficient Desktop-as-a-Service for FPGA Based Cloud Computing Architecture.
Proceedings of the 2012 IEEE Fifth International Conference on Cloud Computing, 2012

2011
Efficient Implementation of Thermal-Aware Scheduler on a Quad-core Processor.
Proceedings of the IEEE 10th International Conference on Trust, 2011

Efficient Pattern Detection for Embedded Optical Bio-sensing System.
Proceedings of the IEEE Ninth International Conference on Dependable, 2011

Security protection on FPGA against differential power analysis attacks.
Proceedings of the 7th Cyber Security and Information Intelligence Research Workshop, 2011

2010
Statistical estimation and evaluation for communication mapping in Network-on-Chip.
Integr., 2010

Implementing a Thermal-Aware Scheduler in Linux Kernel on a Multi-Core Processor.
Comput. J., 2010

Task Allocation and Optimization of Distributed Embedded Systems with Simulated Annealing and Geometric Programming.
Comput. J., 2010

A Novel Hardware Architecture for Rapid Object Detection Based on Adaboost Algorithm.
Proceedings of the Advances in Visual Computing - 6th International Symposium, 2010

Real-Time Constrained Task Scheduling in 3D Chip Multiprocessor to Reduce Peak Temperature.
Proceedings of the IEEE/IFIP 8th International Conference on Embedded and Ubiquitous Computing, 2010

Revealing Feasibility of FMM on ASIC: Efficient Implementation of N-Body Problem on FPGA.
Proceedings of the 13th IEEE International Conference on Computational Science and Engineering, 2010

Design and Implementation of 3D Positioning Algorithms Based on RF Signal Radiation Patterns for In Vivo Micro-robot.
Proceedings of the International Conference on Body Sensor Networks, 2010

Implementing Medical CT Algorithms on Stand-alone FPGA Based Systems Using an Efficient Workflow with SysGen and Simulink.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

2009
Online energy-saving algorithm for sensor networks in dynamic changing environments.
J. Embed. Comput., 2009

Ehancing the Implementation of Adaboost Algorithm on a DSP-Based Platform.
Proceedings of the International Conference on Scalable Computing and Communications / Eighth International Conference on Embedded Computing, 2009

Implementing Contourlet Transform for Medical Image Fusion on a Heterogenous Platform.
Proceedings of the International Conference on Scalable Computing and Communications / Eighth International Conference on Embedded Computing, 2009

Design and Implementation of a Cordless Power Supply System for Pervasive Medical Devices.
Proceedings of the International Conference on Embedded Software and Systems, 2009

Statistical Estimation for Total Communication Load in Application-Specific Network-on-Chip.
Proceedings of the International Conference on Embedded Software and Systems, 2009

Design of 3D Positioning Algorithm Based on RFID Receiver Array for In Vivo Micro-Robot.
Proceedings of the Eighth IEEE International Conference on Dependable, 2009

Design and Implementation of a High Resolution Localization System for In-Vivo Capsule Endoscopy.
Proceedings of the Eighth IEEE International Conference on Dependable, 2009

2008
Pre-synthesis resource generation and estimation for transport-triggered architecture (TTA)-like architecture.
Microprocess. Microsystems, 2008

Software Pipelining with Minimal Loop Overhead on Transport Triggered Architecture.
Proceedings of the International Conference on Embedded Software and Systems, 2008

Evaluation of Partitioning Methods for Stream Applications on a Heterogeneous Multi-core Processor Simulator.
Proceedings of the 2008 IEEE/IPIP International Conference on Embedded and Ubiquitous Computing (EUC 2008), 2008

A Hybrid Anti-Collision Algorithm for RFID with Enhanced Throughput and Reduced Memory Consumption.
Proceedings of the 2008 IEEE/IPIP International Conference on Embedded and Ubiquitous Computing (EUC 2008), 2008

2007
Cycle Accurate Verification of Synchronous Sequential Circuit Specified with UML 2.0 Modelling.
Proceedings of the 2007 International Conference on Intelligent Pervasive Computing, 2007

An Inter-Core Communication Enabled Multi-Core Simulator Based on SimpleScalar.
Proceedings of the 21st International Conference on Advanced Information Networking and Applications (AINA 2007), 2007

An Improved RAIM Scheme for Processing Multiple Outliers in GNSS.
Proceedings of the 21st International Conference on Advanced Information Networking and Applications (AINA 2007), 2007

2006
Co-optimization of Performance and Power in a Superscalar Processor Design.
Proceedings of the Emerging Directions in Embedded and Ubiquitous Computing, 2006

UML Based Evaluation of Reconfigurable Shape Adaptive DCT for Embedded Stream Processing.
Proceedings of the Emerging Directions in Embedded and Ubiquitous Computing, 2006

2005
Using UML 2.0 for System Level Design of Real Time SoC Platforms for Stream Processing.
Proceedings of the 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2005), 2005

Runtime-Coordinated Scalable Incremental Checksum Testing of Combinational Circuits.
Proceedings of the 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2005), 2005

An integrated performance and power model for superscalar processor designs.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Design of clocked circuits using UML.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

A Performance and Power Co-optimization Approach for Modern Processors.
Proceedings of the Fifth International Conference on Computer and Information Technology (CIT 2005), 2005

2004
Tuning SoC platforms for multimedia processing: identifying limits and tradeoffs.
Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2004


  Loading...