Yu Hu

Orcid: 0000-0001-8818-4075

Affiliations:
  • Chinese Academy of Sciences, State Key Laboratory of Computer Architecture, Institute of Computing Technology, Beijing, China


According to our database1, Yu Hu authored at least 115 papers between 2004 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Sweet Gradient matters: Designing consistent and efficient estimator for Zero-shot Architecture Search.
Neural Networks, November, 2023

PMR-CNN: Prototype Mixture R-CNN for Few-Shot Object Detection.
Proceedings of the IEEE Intelligent Vehicles Symposium, 2023

M2F2-Net: Multi-Modal Feature Fusion for Unstructured Off-Road Freespace Detection.
Proceedings of the IEEE Intelligent Vehicles Symposium, 2023

Generalized Few-shot Semantic Segmentation for LiDAR Point Clouds.
IROS, 2023

Few-shot 3D LiDAR Semantic Segmentation for Autonomous Driving.
Proceedings of the IEEE International Conference on Robotics and Automation, 2023

Zero-shot Object Detection Based on Dynamic Semantic Vectors.
Proceedings of the IEEE International Conference on Robotics and Automation, 2023

Unleashing the Power of Gradient Signal-to-Noise Ratio for Zero-Shot NAS.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

PA&DA: Jointly Sampling PAth and DAta for Consistent NAS.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

PINAT: A Permutation INvariance Augmented Transformer for NAS Predictor.
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023

2022
STC-NAS: Fast neural architecture search with source-target consistency.
Neurocomputing, 2022

SMS-MPC: Adversarial Learning-based Simultaneous Prediction Control with Single Model for Mobile Robots.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2022

Closing the Dynamics Gap via Adversarial and Reinforcement Learning for High-Speed Racing.
Proceedings of the International Joint Conference on Neural Networks, 2022

Searching for BurgerFormer with Micro-Meso-Macro Space Design.
Proceedings of the International Conference on Machine Learning, 2022

AGNAS: Attention-Guided Micro and Macro-Architecture Search.
Proceedings of the International Conference on Machine Learning, 2022

Repeatable Pattern Mining for Accurate Subtraction of Backgrounds with Waving Objects in Underwater Videos.
Proceedings of the 9th IEEE International Conference on Data Science and Advanced Analytics, 2022

2021
Happy Emotion Recognition From Unconstrained Videos Using 3D Hybrid Deep Features.
IEEE Access, 2021

KFS-LIO: Key-Feature Selection for Lightweight Lidar Inertial Odometry.
Proceedings of the IEEE International Conference on Robotics and Automation, 2021

DU-DARTS: Decreasing the Uncertainty of Differentiable Architecture Search.
Proceedings of the 32nd British Machine Vision Conference 2021, 2021

DDSAS: Dynamic and Differentiable Space-Architecture Search.
Proceedings of the Asian Conference on Machine Learning, 2021

2020
INOR - An Intelligent noise reduction method to defend against adversarial audio examples.
Neurocomputing, 2020

MultiPAD: A Multivariant Partition-Based Method for Audio Adversarial Examples Detection.
IEEE Access, 2020

Sequence Triggered Hardware Trojan in Neural Network Accelerator.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

Two-Stage Safe Reinforcement Learning for High-Speed Autonomous Racing.
Proceedings of the 2020 IEEE International Conference on Systems, Man, and Cybernetics, 2020

Lightdet: A Lightweight and Accurate Object Detection Network.
Proceedings of the 2020 IEEE International Conference on Acoustics, 2020

Prediction Stability: A New Metric for Quantitatively Evaluating DNN Outputs.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Exploring Spatial-Temporal Multi-Frequency Analysis for High-Fidelity and Temporal-Consistency Video Prediction.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

Survey: Hardware Trojan Detection for Netlist.
Proceedings of the 29th IEEE Asian Test Symposium, 2020

2019
PUFPass: A password management mechanism based on software/hardware codesign.
Integr., 2019

PosNeg-Balanced Anchors with Aligned Features for Single-Shot Object Detection.
CoRR, 2019

Scan Chain Based Attacks and Countermeasures: A Survey.
IEEE Access, 2019

Implementation of Parametric Hardware Trojan in FPGA.
Proceedings of the IEEE International Test Conference in Asia, 2019

2018
Deterministic and Probabilistic Diagnostic Challenge Generation for Arbiter Physical Unclonable Function.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

RT3D: Real-Time 3-D Vehicle Detection in LiDAR Point Cloud for Autonomous Driving.
IEEE Robotics Autom. Lett., 2018

Modeling attacks on strong physical unclonable functions strengthened by random number and weak PUF.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

See and Think: Disentangling Semantic Scene Completion.
Proceedings of the Advances in Neural Information Processing Systems 31: Annual Conference on Neural Information Processing Systems 2018, 2018

Grey Zone in Pre-Silicon Hardware Trojan Detection.
Proceedings of the IEEE International Test Conference in Asia, 2018

VarNet: Exploring Variations for Unsupervised Video Prediction.
Proceedings of the 2018 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2018

Hardware Trojan in FPGA CNN Accelerator.
Proceedings of the 27th IEEE Asian Test Symposium, 2018

PUF Based Pay-Per-Device Scheme for IP Protection of CNN Model.
Proceedings of the 27th IEEE Asian Test Symposium, 2018

Bias PUF based Secure Scan Chain Design.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2018

2017
Going Cooler With Timing-Constrained TeSHoP: A Temperature Sensing-Based Hotspot-Driven Placement Technique for FPGAs.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Power-Utility-Driven Write Management for MLC PCM.
ACM J. Emerg. Technol. Comput. Syst., 2017

LAPS: Layout-Aware Path Selection for Post-Silicon Timing Characterization.
IEICE Trans. Inf. Syst., 2017

GeoCueDepth: Exploiting geometric structure cues to estimate depth from a single image.
Proceedings of the 2017 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2017

VPUF: Voter based physical unclonable function with high reliability and modeling attack resistance.
Proceedings of the 23rd IEEE International Symposium on On-Line Testing and Robust System Design, 2017

Leveraging FVT-margins in design space exploration for FFGA-based CNN accelerators.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

Fault diagnosis of arbiter physical unclonable function.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Polymorphic PUF: Exploiting reconfigurability of CPU+FPGA SoC to resist modeling attack.
Proceedings of the 2017 Asian Hardware Oriented Security and Trust Symposium, 2017

2016
TSocket: Thermal Sustainable Power Budgeting.
ACM Trans. Design Autom. Electr. Syst., 2016

RPUF: Physical Unclonable Function with Randomized Challenge to resist modeling attack.
Proceedings of the 2016 IEEE Asian Hardware-Oriented Security and Trust, 2016

TeSHoP: A Temperature Sensing based Hotspot-Driven Placement technique for FPGAs.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

DCPUF: Placement and Routing Constraint based Dynamically Configured Physical Unclonable Function on FPGA (Abstact Only).
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016

POSTER: Attack on Non-Linear Physical Unclonable Function.
Proceedings of the 2016 ACM SIGSAC Conference on Computer and Communications Security, 2016

Efficient Attack on Non-linear Current Mirror PUF with Genetic Algorithm.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

2015
Diagnosis and Layout Aware (DLA) Scan Chain Stitching.
IEEE Trans. Very Large Scale Integr. Syst., 2015

OPUF: Obfuscation logic based physical unclonable function.
Proceedings of the 21st IEEE International On-Line Testing Symposium, 2015

Impact assessment of net metering on smart home cyberattack detection.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Diagnose Failures Caused by Multiple Locations at a Time.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Reliability-Oriented Placement and Routing Algorithm for SRAM-Based FPGAs.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Orchestrator: Guarding Against Voltage Emergencies in Multithreaded Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Short-SET: An energy-efficient write scheme for MLC PCM.
Proceedings of the IEEE Non-Volatile Memory Systems and Applications Symposium, 2014

Partial-SET: Write speedup of PCM main memory.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Thermal-Sustainable Power Budgeting for Dynamic Threading.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

SwimmingLane: A composite approach to mitigate voltage droop effects in 3D power delivery network.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Tolerating Noise in MLC PCM with Multi-Bit Error Correction Code.
Proceedings of the IEEE 19th Pacific Rim International Symposium on Dependable Computing, 2013

HHC: Hierarchical hardware checkpointing to accelerate fault recovery for SRAM-based FPGAs.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

Capturing post-silicon variation by layout-aware path-delay testing.
Proceedings of the Design, Automation and Test in Europe, 2013

Orchestrator: a low-cost solution to reduce voltage emergencies for multi-threaded applications.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
IVF: Characterizing the Vulnerability of Microprocessor Structures to Intermittent Faults.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Off-path leakage power aware routing for SRAM-based FPGAs.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

In-Field Testing of NAND Flash Storage: Why and How?
Proceedings of the 21st IEEE Asian Test Symposium, 2012

2011
Using Launch-on-Capture for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Capture-power-aware test data compression using selective encoding.
Integr., 2011

Oware: Operand width Aware Redundant Execution for Whole-Processor Error Detection.
Intell. Autom. Soft Comput., 2011

Scan chain design for shift power reduction in scan-based testing.
Sci. China Inf. Sci., 2011

Transparent dynamic binding with fault-tolerant cache coherence protocol for chip multiprocessors.
Proceedings of the 2011 IEEE/IFIP International Conference on Dependable Systems and Networks, 2011

On diagnosis of multiple faults using compacted responses.
Proceedings of the Design, Automation and Test in Europe, 2011

A cost-effective substantial-impact-filter based method to tolerate voltage emergencies.
Proceedings of the Design, Automation and Test in Europe, 2011

Cross-layer optimized placement and routing for FPGA soft error mitigation.
Proceedings of the Design, Automation and Test in Europe, 2011

Exploiting Free LUT Entries to Mitigate Soft Errors in SRAM-based FPGAs.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
X-Filling for Simultaneous Shift- and Capture-Power Reduction in At-Speed Scan-Based Testing.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Diagnosis of multiple arbitrary faults with mask and reinforcement effect.
Proceedings of the Design, Automation and Test in Europe, 2010

Substantial Fault Pair At-a-Time (SFPAT): An Automatic Diagnostic Pattern Generation Method.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

2009
Online Computing and Predicting Architectural Vulnerability Factor of Microprocessor Structures.
Proceedings of the 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing, 2009

2008
Design-for-Testability Features and Test Implementation of a Giga Hertz General Purpose Microprocessor.
J. Comput. Sci. Technol., 2008

Codeword Selection for Crosstalk Avoidance and Error Correction on Interconnects.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

Diagnosis of Mask-Effect Multiple Timing Faults in Scan Chains.
Proceedings of the 2008 IEEE International Test Conference, 2008

Deterministic Diagnostic Pattern Generation (DDPG) for Compound Defects.
Proceedings of the 2008 IEEE International Test Conference, 2008

On capture power-aware test data compression for scan-based testing.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

A Case Study on At-Speed Testing for a Gigahertz Microprocessor.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

Adaptive Diagnostic Pattern Generation for Scan Chains.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

A Scan-Based Delay Test Method for Reduction of Overtesting.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

Channel Width Utilization Improvement in Testing NoC-Based Systems for Test Time Reduction.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

iFill: An Impact-Oriented X-Filling Method for Shift- and Capture-Power Reduction in At-Speed Scan-Based Testing.
Proceedings of the Design, Automation and Test in Europe, 2008

Observation Point Oriented Deterministic Diagnosis Pattern Generation (DDPG) for Chain Diagnosis.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

A design- for-diagnosis technique for diagnosing both scan chain faults and combinational circuit faults.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

On reducing both shift and capture power for scan-based testing.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

Localized random access scan: Towards low area and routing overhead.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

Robust test generation for power supply noise induced path delay faults.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Embedded Test Decompressor to Reduce the Required Channels and Vector Memory of Tester for Complex Processor Circuit.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Leakage Current Optimization Techniques During Test Based on Don't Care Bits Assignment.
J. Comput. Sci. Technol., 2007

The design-for-testability features of a general purpose microprocessor.
Proceedings of the 2007 IEEE International Test Conference, 2007

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing.
Proceedings of the 16th Asian Test Symposium, 2007

2006
Compression/Scan Co-design for Reducing Test Data Volume, Scan-in Power Dissipation, and Test Application Time.
IEICE Trans. Inf. Syst., 2006

An on-chip combinational decompressor for reducing test data volume.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A Scan Chain Adjustment Technology for Test Power Reduction.
Proceedings of the 15th Asian Test Symposium, 2006

Test data compression based on clustered random access scan.
Proceedings of the 15th Asian Test Symposium, 2006

2005
Wrapper Scan Chains Design for Rapid and Low Power Testing of Embedded Cores.
IEICE Trans. Inf. Syst., 2005

Compression/Scan Co-Design for Reducing Test Data Volume, Scan-in Power Dissipation and Test Application Time.
Proceedings of the 11th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2005), 2005

Using MUXs Network to Hide Bunches of Scan Chains.
Proceedings of the 6th International Symposium on Quality of Electronic Design (ISQED 2005), 2005

Scan Data Volume Reduction Using Periodically Alterable MUXs Decompressor.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

Theoretic analysis and enhanced X-tolerance of test response compact based on convolutional code.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Response Compaction for Test Time and Test Pins Reduction Based on Advanced Convolutional Codes.
Proceedings of the 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 2004

Pair Balance-Based Test Scheduling for SOCs.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004

Rapid and Energy-Efficient Testing for Embedded Cores.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004


  Loading...