Yu Zhang

Orcid: 0000-0002-2052-2231

Affiliations:
  • Huazhong University of Science and Technology, School of Computer Science and Technology, Service Computing Technology and System Lab, Cluster and Grid Computing Lab, Wuhan, China (PhD 2016)


According to our database1, Yu Zhang authored at least 67 papers between 2013 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
I/O Causality Based In-Line Data Deduplication for Non-Volatile Memory Enabled Storage Systems.
IEEE Trans. Computers, May, 2024

2023
RACE: An Efficient Redundancy-aware Accelerator for Dynamic Graph Neural Network.
ACM Trans. Archit. Code Optim., December, 2023

A Compilation Tool for Computation Offloading in ReRAM-based CIM Architectures.
ACM Trans. Archit. Code Optim., December, 2023

Accelerating Content-Defined Chunking for Data Deduplication Based on Speculative Jump.
IEEE Trans. Parallel Distributed Syst., September, 2023

GraphTune: An Efficient Dependency-Aware Substrate to Alleviate Irregularity in Concurrent Graph Processing.
ACM Trans. Archit. Code Optim., September, 2023

EGraph: Efficient Concurrent GPU-Based Dynamic Graph Processing.
IEEE Trans. Knowl. Data Eng., June, 2023

Graph for Science: From API based Programming to Graph Engine based Programming for HPC.
CoRR, 2023

A Spatial-Constraint Model for Manipulating Static Visualizations.
CoRR, 2023

Software-Defined, Fast and Strongly-Consistent Data Replication for RDMA-Based PM Datastores.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2023

SaGraph: A Similarity-aware Hardware Accelerator for Temporal Graph Processing.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

PSMiner: A Pattern-Aware Accelerator for High-Performance Streaming Graph Pattern Mining.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Revisiting Log-Structured Merging for KV Stores in Hybrid Memory Systems.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

2022
A Simulation Framework for Memristor-Based Heterogeneous Computing Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

A Structure-Aware Storage Optimization for Out-of-Core Concurrent Graph Processing.
IEEE Trans. Computers, 2022

GGraph: An Efficient Structure-Aware Approach for Iterative Graph Processing.
IEEE Trans. Big Data, 2022

Toward High-Performance Delta-Based Iterative Processing with a Group-Based Approach.
J. Comput. Sci. Technol., 2022

GIDN: A Lightweight Graph Inception Diffusion Network for High-efficient Link Prediction.
CoRR, 2022

TDGraph: a topology-driven accelerator for high-performance streaming graph processing.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

Towards low-latency I/O services for mixed workloads using ultra-low latency SSDs.
Proceedings of the ICS '22: 2022 International Conference on Supercomputing, Virtual Event, June 28, 2022

ReGNN: a ReRAM-based heterogeneous architecture for general graph neural networks.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

CLIMBER: defending phase change memory against inconsistent write attacks.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
LargeGraph: An Efficient Dependency-Aware GPU-Accelerated Large-Scale Graph Processing.
ACM Trans. Archit. Code Optim., 2021

Editorial: Collaborative Next Generation Networking.
Mob. Networks Appl., 2021

A Survey of Non-Volatile Main Memory Technologies: State-of-the-Arts, Practices, and Future Directions.
J. Comput. Sci. Technol., 2021

HMvisor: dynamic hybrid memory management for virtual machines.
Sci. China Inf. Sci., 2021

Hardware-supported remote persistence for distributed persistent memory.
Proceedings of the International Conference for High Performance Computing, 2021

LCCG: a locality-centric hardware accelerator for high throughput of concurrent graph processing.
Proceedings of the International Conference for High Performance Computing, 2021

Gengar: An RDMA-based Distributed Hybrid Memory Pool.
Proceedings of the 41st IEEE International Conference on Distributed Computing Systems, 2021

DepGraph: A Dependency-Driven Accelerator for Efficient Iterative Graph Processing.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

HNGraph: Parallel Graph Processing in Hybrid Memory Based NUMA Systems.
Proceedings of the IEEE International Conference on Cluster Computing, 2021

Straggler-Aware Parallel Graph Processing in Hybrid Memory Systems.
Proceedings of the 21st IEEE/ACM International Symposium on Cluster, 2021

2020
Hotspot-Aware Hybrid Memory Management for In-Memory Key-Value Stores.
IEEE Trans. Parallel Distributed Syst., 2020

Miss Penalty Aware Cache Replacement for Hybrid Memory Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Object-Level Memory Allocation and Migration in Hybrid Memory Systems.
IEEE Trans. Computers, 2020

AsynGraph: Maximizing Data Parallelism for Efficient Iterative Graph Processing on GPUs.
ACM Trans. Archit. Code Optim., 2020

A Survey of Non-Volatile Main Memory Technologies: State-of-the-Arts, Practices, and Future Directions.
CoRR, 2020

Dynamic cluster strategy for hierarchical rollback-recovery protocols in MPI HPC applications.
Concurr. Comput. Pract. Exp., 2020

TLB Coalescing for Multi-Grained Page Migration in Hybrid Memory Systems.
IEEE Access, 2020

Towards Lightweight Serverless Computing via Unikernel as a Function.
Proceedings of the 28th IEEE/ACM International Symposium on Quality of Service, 2020

2019
CGraph: A Distributed Storage and Processing System for Concurrent Iterative Graph Analysis Jobs.
ACM Trans. Storage, 2019

Supporting Superpages and Lightweight Page Migration in Hybrid Memory Systems.
ACM Trans. Archit. Code Optim., 2019

Energy efficient task allocation and energy scheduling in green energy powered edge computing.
Future Gener. Comput. Syst., 2019

An effective framework for asynchronous incremental graph processing.
Frontiers Comput. Sci., 2019

NGraph: Parallel Graph Processing in Hybrid Memory Systems.
IEEE Access, 2019

Echo: An Edge-Centric Code Offloading System With Quality of Service Guarantee.
IEEE Access, 2019

GraphM: an efficient storage system for high throughput of concurrent graph processing.
Proceedings of the International Conference for High Performance Computing, 2019

HiNUMA: NUMA-Aware Data Placement and Migration in Hybrid Memory Systems.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019

DiGraph: An Efficient Path-based Iterative Directed Graph Processing System on Multiple GPUs.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019

2018
Efficient Disk-Based Directed Graph Processing: A Strongly Connected Component Approach.
IEEE Trans. Parallel Distributed Syst., 2018

FBSGraph: Accelerating Asynchronous Graph Processing via Forward and Backward Sweeping.
IEEE Trans. Knowl. Data Eng., 2018

CGraph: A Correlations-aware Approach for Efficient Concurrent Iterative Graph Processing.
Proceedings of the 2018 USENIX Annual Technical Conference, 2018

PNPFI: An Efficient Parallel Frequent Itemsets Mining Algorithm.
Proceedings of the 22nd IEEE International Conference on Computer Supported Cooperative Work in Design, 2018

2017
SAE: Toward Efficient Cloud Data Analysis Service for Large-Scale Social Networks.
IEEE Trans. Cloud Comput., 2017

HotGraph: Efficient Asynchronous Processing for Real-World Graphs.
IEEE Trans. Computers, 2017

FRANK: A Fast Node Ranking Approach in Large-Scale Networks.
IEEE Netw., 2017

Automatically Setting Parameter-Exchanging Interval for Deep Learning.
Mob. Networks Appl., 2017

2015
Inc-Part: Incremental Partitioning for Load Balancing in Large-Scale Behavioral Simulations.
IEEE Trans. Parallel Distributed Syst., 2015

Resisting Skew-Accumulation for Time-Stepped Applications in the Cloud via Exploiting Parallelism.
IEEE Trans. Cloud Comput., 2015

A novel memory allocation scheme for memory energy reduction in virtualization environment.
J. Comput. Syst. Sci., 2015

Is Your Graph Algorithm Eligible for Nondeterministic Execution?
Proceedings of the 44th International Conference on Parallel Processing, 2015

2014
AsyIter: tolerating computational skew of synchronous iterative applications via computing decomposition.
Knowl. Inf. Syst., 2014

An adaptive switching scheme for iterative computing in the cloud.
Frontiers Comput. Sci., 2014

esDMT: Efficient and scalable deterministic multithreading through memory isolation.
Proceedings of the 20th IEEE International Conference on Parallel and Distributed Systems, 2014

Accelerating the Massive VMs Booting Up.
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014

An Adaptive Task Granularity Based Scheduling for Task-centric Parallelism.
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014

2013
Improving disk I/O performance in a virtualized system.
J. Comput. Syst. Sci., 2013

DDASTM: Ensuring Conflict Serializability Efficiently in Distributed STM.
Proceedings of the Grid and Pervasive Computing - 8th International Conference, 2013


  Loading...