Yuhao Wang

Orcid: 0000-0002-9724-9667

Affiliations:
  • Nanyang Technological University, School of Electrical and Electronic Engineering, Singapore (PhD 2015)


According to our database1, Yuhao Wang authored at least 17 papers between 2011 and 2020.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2020
Learning in the Frequency Domain.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

2017
Data-Driven Sampling Matrix Boolean Optimization for Energy-Efficient Biomedical Signal Acquisition by Compressive Sensing.
IEEE Trans. Biomed. Circuits Syst., 2017

2016
Non-Volatile In-Memory Computing by Spintronics
Synthesis Lectures on Emerging Engineering Technologies, Morgan & Claypool Publishers, ISBN: 978-3-031-02032-2, 2016

DW-AES: A Domain-Wall Nanowire-Based AES for High Throughput and Energy-Efficient Data Encryption in Non-Volatile Memory.
IEEE Trans. Inf. Forensics Secur., 2016

An energy-efficient matrix multiplication accelerator by distributed in-memory computing on binary RRAM crossbar.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

A 3D multi-layer CMOS-RRAM accelerator for neural network.
Proceedings of the 2016 IEEE International 3D Systems Integration Conference, 2016

2015
Optimizing Boolean embedding matrix for compressive sensing in RRAM crossbar.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

A body-biasing of readout circuit for STT-RAM with improved thermal reliability.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

An energy-efficient non-volatile in-memory accelerator for sparse-representation based face recognition.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Nonvolatile CBRAM-Crossbar-Based 3-D-Integrated Hybrid Memory for Data Retention.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Energy efficient in-memory AES encryption based on nonvolatile domain-wall nanowire.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Energy efficient in-memory machine learning for data intensive image-processing by non-volatile domain-wall memory.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

Design Exploration of Emerging Nano-scale Non-volatile Memory.
Springer, ISBN: 978-1-4939-0550-8, 2014

2013
An ultralow-power memory-based big-data computing platform by nonvolatile domain-wall nanowire devices.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

2012
Design exploration of ultra-low power non-volatile memory based on topological insulator.
Proceedings of the 2012 IEEE/ACM International Symposium on Nanoscale Architectures, 2012

Design of low power 3D hybrid memory by non-volatile CBRAM-crossbar with block-level data-retention.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

2011
Design exploration of 3D stacked non-volatile memory by conductive bridge based crossbar.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011


  Loading...