% csauthors.net - beta - BibTeX bibliography of Zhangming Zhu
@inproceedings{conf/vlsid/LiuYZ05,
title = {A High Accuracy Bandgap Reference with Chopped Modulator to Compensate MOSFET Mismatch.},
year = {2005},
booktitle = {VLSI Design},
author = {{Lianxi Liu} and {Yintang Yang} and {Zhangming Zhu}},
publisher = {IEEE Computer Society},
booktitle = {18th International Conference on VLSI Design (VLSI Design 2005), with the 4th International Conference on Embedded Systems Design, 3-7 January 2005, Kolkata, India}
}
@inproceedings{conf/paccs/GuanZWYZ09,
title = {A Novel GALS Single-Track Protocol Asynchronous Communication Circuits.},
year = {2009},
booktitle = {PACCS},
author = {{Xuguang Guan} and {Duan Zhou} and {Dan Wang} and {Yintang Yang} and {Zhangming Zhu}},
publisher = {IEEE Computer Society},
booktitle = {2009 Pacific-Asia Conference on Circuits, Communications and Systems, PACCS 2009, Chengdu, China, 16-17 May 2009}
}
@inproceedings{conf/paccs/GuanZYZ09,
title = {A GALS Delay-insensitive Self-timed Wrapper for Network on Chips.},
year = {2009},
booktitle = {PACCS},
author = {{Xuguang Guan} and {Duan Zhou} and {Yintang Yang} and {Zhangming Zhu}},
publisher = {IEEE Computer Society},
booktitle = {2009 Pacific-Asia Conference on Circuits, Communications and Systems, PACCS 2009, Chengdu, China, 16-17 May 2009}
}
@inproceedings{conf/paccs/QianZY09,
title = {A Low Distortion CMOS Bootstrapped Switch.},
year = {2009},
booktitle = {PACCS},
author = {{Libo Qian} and {Zhangming Zhu} and {Yintang Yang}},
publisher = {IEEE Computer Society},
booktitle = {2009 Pacific-Asia Conference on Circuits, Communications and Systems, PACCS 2009, Chengdu, China, 16-17 May 2009}
}
@inproceedings{conf/paccs/TongYZXC09,
title = {A Novel R-C Combination Based Pseudo-differential SAR A/D Converter in 90nm CMOS Process.},
year = {2009},
booktitle = {PACCS},
author = {{Xingyuan Tong} and {Yintang Yang} and {Zhangming Zhu} and {Yan Xiao} and {Jianming Chen}},
publisher = {IEEE Computer Society},
booktitle = {2009 Pacific-Asia Conference on Circuits, Communications and Systems, PACCS 2009, Chengdu, China, 16-17 May 2009}
}
@inproceedings{conf/paccs/TongZY09,
title = {Low-power Capacitor Arrays for Charge Redistribution SAR A-D Converter in 65nm CMOS.},
year = {2009},
booktitle = {PACCS},
author = {{Xingyuan Tong} and {Zhangming Zhu} and {Yintang Yang}},
publisher = {IEEE Computer Society},
booktitle = {2009 Pacific-Asia Conference on Circuits, Communications and Systems, PACCS 2009, Chengdu, China, 16-17 May 2009}
}
@article{journals/jcsc/GuanZZY10,
title = {High Speed Multi-Resource Arbiter with Active Virtual Channel Allocation for Network on Chips.},
year = {2010},
journal = {J. Circuits Syst. Comput.},
author = {{Xuguang Guan} and {Zhangming Zhu} and {Duan Zhou} and {Yintang Yang}}
}
@inproceedings{conf/asicon/LiYZ11,
title = {A 1.8V 100MS/s 10-bit pipelined folding A/D converter with 9.49 ENOB at Nyquist frequency.},
year = {2011},
booktitle = {ASICON},
author = {{Xiaojuan Li} and {Yintang Yang} and {Zhangming Zhu}},
publisher = {IEEE},
booktitle = {2011 IEEE 9th International Conference on ASIC, ASICON 2011, Xiamen, China, October 25-28, 2011}
}
@inproceedings{conf/asicon/LiYZ11a,
title = {A low-kickback preamplifier with offset cancellation for pipelined folding A/D Converter.},
year = {2011},
booktitle = {ASICON},
author = {{Xiaojuan Li} and {Yintang Yang} and {Zhangming Zhu}},
publisher = {IEEE},
booktitle = {2011 IEEE 9th International Conference on ASIC, ASICON 2011, Xiamen, China, October 25-28, 2011}
}
@inproceedings{conf/asicon/LiYZQ11,
title = {Zero-crossing distortion analysis in one cycle controlled boost PFC for Low THD.},
year = {2011},
booktitle = {ASICON},
author = {{Yani Li} and {Yintang Yang} and {Zhangming Zhu} and {Wei Qiang}},
publisher = {IEEE},
booktitle = {2011 IEEE 9th International Conference on ASIC, ASICON 2011, Xiamen, China, October 25-28, 2011}
}
@inproceedings{conf/asicon/LiYZQ11a,
title = {A novel low THD 4-quadrant analog multiplier using feedforward compensation for PFC.},
year = {2011},
booktitle = {ASICON},
author = {{Yani Li} and {Yintang Yang} and {Zhangming Zhu} and {Wei Qiang}},
publisher = {IEEE},
booktitle = {2011 IEEE 9th International Conference on ASIC, ASICON 2011, Xiamen, China, October 25-28, 2011}
}
@inproceedings{conf/asicon/QianZY11,
title = {System level performance evaluation of three-dimensional integrated circuit.},
year = {2011},
booktitle = {ASICON},
author = {{Libo Qian} and {Zhangming Zhu} and {Yintang Yang}},
publisher = {IEEE},
booktitle = {2011 IEEE 9th International Conference on ASIC, ASICON 2011, Xiamen, China, October 25-28, 2011}
}
@inproceedings{conf/asicon/WangZYW11,
title = {A thermal model for the top layer of 3D integrated circuits considering through silicon vias.},
year = {2011},
booktitle = {ASICON},
author = {{Fengjuan Wang} and {Zhangming Zhu} and {Yintang Yang} and {Ning Wang}},
publisher = {IEEE},
booktitle = {2011 IEEE 9th International Conference on ASIC, ASICON 2011, Xiamen, China, October 25-28, 2011}
}
@inproceedings{conf/asicon/YangYZZ11,
title = {A high-speed asynchronous array multiplier based on multi-threshold semi-static NULL convention logic pipeline.},
year = {2011},
booktitle = {ASICON},
author = {{Yanfei Yang} and {Yintang Yang} and {Zhangming Zhu} and {Duan Zhou}},
publisher = {IEEE},
booktitle = {2011 IEEE 9th International Conference on ASIC, ASICON 2011, Xiamen, China, October 25-28, 2011}
}
@article{journals/mj/TongYZL11,
title = {Novel hybrid D/A structures for high-resolution SAR ADCs - analysis, modeling and realization.},
year = {2011},
journal = {Microelectron. J.},
author = {{Xingyuan Tong} and {Yintang Yang} and {Zhangming Zhu} and {Lianxi Liu}}
}
@article{journals/ieiceee/LiuZGLLY12,
title = {A CMOS 4.6ppm/°C curvature-compensated bandgap voltage reference.},
year = {2012},
journal = {IEICE Electron. Express},
author = {{Shubin Liu} and {Zhangming Zhu} and {Huaxi Gu} and {Minjie Liu} and {Lianxi Liu} and {Yintang Yang}}
}
@article{journals/jcsc/ZhuWLY12,
title = {A High Precision CMOS voltage Reference without resistors.},
year = {2012},
journal = {J. Circuits Syst. Comput.},
author = {{Zhangming Zhu} and {Wei Wei} and {Lianxi Liu} and {Yintang Yang}}
}
@article{journals/mj/QianZY12,
title = {Through-silicon-via insertion for performance optimization in three-dimensional integrated circuits.},
year = {2012},
journal = {Microelectron. J.},
author = {{Libo Qian} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/ieiceee/ChenYLZLH13,
title = {The impact of trapping centers on AlGaN/GaN resonant tunneling diode.},
year = {2013},
journal = {IEICE Electron. Express},
author = {{Haoran Chen} and {Lin-An Yang} and {Xiaoxian Liu} and {Zhangming Zhu} and {Jun Luo} and {Yue Hao}}
}
@article{journals/ieiceee/ChenYLZLH13a,
title = {Erratum: The impact of trapping centers on AlGaN/GaN resonant tunneling diode [IEICE Electronics Express Vol 10 (2013) No 19 pp 20130588].},
year = {2013},
journal = {IEICE Electron. Express},
author = {{Haoran Chen} and {Lin-An Yang} and {Xiaoxian Liu} and {Zhangming Zhu} and {Jun Luo} and {Yue Hao}}
}
@article{journals/ieiceee/LiuDZLG13,
title = {A new stereo enhancement circuit for class-D amplifier.},
year = {2013},
journal = {IEICE Electron. Express},
author = {{Lianxi Liu} and {Shijie Deng} and {Zhangming Zhu} and {Yanhong Li} and {Huaxi Gu}}
}
@article{journals/ieiceee/LiuNZZ13,
title = {A novel DC and PWM dual-mode dimming circuit for the WLED driver.},
year = {2013},
journal = {IEICE Electron. Express},
author = {{Lianxi Liu} and {Yue Niu} and {Jiao Zou} and {Zhangming Zhu}}
}
@article{journals/ieiceee/LiuZGY13,
title = {A low-jitter pulsewidth control loop with high supply noise rejection.},
year = {2013},
journal = {IEICE Electron. Express},
author = {{Shubin Liu} and {Zhangming Zhu} and {Huaxi Gu} and {Yintang Yang}}
}
@article{journals/ieiceee/LiuZYWD13,
title = {Reduction of signal reflection in high-frequency three-dimensional (3D) integration circuits.},
year = {2013},
journal = {IEICE Electron. Express},
author = {{Xiaoxian Liu} and {Zhangming Zhu} and {Yintang Yang} and {Fengjuan Wang} and {Ruixue Ding}}
}
@article{journals/ieiceee/WangZYLD13,
title = {Analytical models for the thermal strain and stress induced by annular through-silicon-via (TSV).},
year = {2013},
journal = {IEICE Electron. Express},
author = {{Fengjuan Wang} and {Zhangming Zhu} and {Yintang Yang} and {Xiaoxian Liu} and {Ruixue Ding}}
}
@article{journals/ieiceee/WangZYLD13a,
title = {Thermo-mechanical performance of Cu and SiO2 filled coaxial through-silicon-via (TSV).},
year = {2013},
journal = {IEICE Electron. Express},
author = {{Fengjuan Wang} and {Zhangming Zhu} and {Yintang Yang} and {Xiaoxian Liu} and {Ruixue Ding}}
}
@article{journals/ieiceee/YangLWZY13,
title = {A highly efficient interface circuit for ultra-low-voltage energy harvesting.},
year = {2013},
journal = {IEICE Electron. Express},
author = {{Zheng Yang} and {Yani Li} and {Jingmin Wang} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/ieiceee/ZhuangZYGLZ13,
title = {A CMOS OTA with extremely large DC open-loop voltage gain.},
year = {2013},
journal = {IEICE Electron. Express},
author = {{Haoyu Zhuang} and {Zhangming Zhu} and {Yintang Yang} and {Huaxi Gu} and {Liang Liang} and {Yang Zhao}}
}
@article{journals/jcsc/ZhaoYZ13,
title = {A High Speed Low Power latched Comparator for SHA-Less Pipelined ADC.},
year = {2013},
journal = {J. Circuits Syst. Comput.},
author = {{Lei Zhao} and {Yintang Yang} and {Zhangming Zhu}}
}
@article{journals/jcsc/ZhuWGLXLY13,
title = {A Low Offset Comparator for High Speed Low Power ADC.},
year = {2013},
journal = {J. Circuits Syst. Comput.},
author = {{Zhangming Zhu} and {Weitie Wang} and {Yuheng Guan} and {Shubin Liu} and {Yu Xiao} and {Lianxi Liu} and {Yintang Yang}}
}
@article{journals/jcsc/ZhuWYLLY13,
title = {A Low Offset High Speed Comparator for Pipeline ADC.},
year = {2013},
journal = {J. Circuits Syst. Comput.},
author = {{Zhangming Zhu} and {Hongbing Wu} and {Guangwen Yu} and {Yanhong Li} and {Lianxi Liu} and {Yintang Yang}}
}
@article{journals/jcsc/ZhuXLLY13,
title = {A 3.03 μW 10-BIT 200 KS/s SAR ADC IN 0.18 μM CMOS.},
year = {2013},
journal = {J. Circuits Syst. Comput.},
author = {{Zhangming Zhu} and {Yu Xiao} and {Liang Liang} and {Lianxi Liu} and {Yintang Yang}}
}
@article{journals/jcsc/ZhuYWY13,
title = {A Low Distortion Bootstrapped Switch for 4-Bit MDAC.},
year = {2013},
journal = {J. Circuits Syst. Comput.},
author = {{Zhangming Zhu} and {Guangwen Yu} and {Jingyu Wang 003} and {Yintang Yang}}
}
@article{journals/mj/ZhuXWGLY13,
title = {A 1.33 μW 10-bit 200KS/s SAR ADC with a tri-level based capacitor switching procedure.},
year = {2013},
journal = {Microelectron. J.},
author = {{Zhangming Zhu} and {Yu Xiao} and {Weitie Wang} and {Yuheng Guan} and {Lianxi Liu} and {Yintang Yang}}
}
@article{journals/ieiceee/LiuMMZ14,
title = {A hybrid threshold self-compensation rectifier for RF energy harvesting.},
year = {2014},
journal = {IEICE Electron. Express},
author = {{Lianxi Liu} and {Junchao Mu} and {Ning Ma} and {Zhangming Zhu}}
}
@article{journals/ieiceee/LiuMYZ14,
title = {CCS: A low-power capacitively charge-sharing transmitter for NoC links.},
year = {2014},
journal = {IEICE Electron. Express},
author = {{Yi Liu 060} and {Shuai Ma} and {Yintang Yang} and {Zhangming Zhu}}
}
@article{journals/ieiceee/QuanLZX14,
title = {The range alignment approach for signal acquisition system.},
year = {2014},
journal = {IEICE Electron. Express},
author = {{Yinghui Quan} and {Yachao Li} and {Zhangming Zhu} and {Mengdao Xing}}
}
@article{journals/ieiceee/YangLZD14,
title = {Temperature properties of the parasitic resistance of through-silicon vias (TSVs) in high-frequency 3-D ICs.},
year = {2014},
journal = {IEICE Electron. Express},
author = {{Yintang Yang} and {Xiaoxian Liu} and {Zhangming Zhu} and {Ruixue Ding}}
}
@article{journals/iet-cds/ZhuQSY14,
title = {A 2.67 fJ/c.-s. 27.8 kS/s 0.35 V 10-bit successive approximation register analogue-to-digital converter in 65 nm complementary metal oxide semiconductor.},
year = {2014},
journal = {IET Circuits Devices Syst.},
author = {{Zhangming Zhu} and {Zheng Qiu} and {Yi Shen 007} and {Yintang Yang}}
}
@article{journals/jcsc/LiangZY14,
title = {A Very Low-TC Second-Order temperature-compensated CMOS Current Reference.},
year = {2014},
journal = {J. Circuits Syst. Comput.},
author = {{Liang Liang} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/mj/WangZYLD14,
title = {Capacitance characterization of tapered through-silicon-via considering MOS effect.},
year = {2014},
journal = {Microelectron. J.},
author = {{Fengjuan Wang} and {Zhangming Zhu} and {Yintang Yang} and {Xiaoxian Liu} and {Ruixue Ding}}
}
@article{journals/mj/ZhuLWY14,
title = {A single-channel 8-bit 660 MS/s asynchronous SAR ADC with pre-settling procedure in 65 nm CMOS.},
year = {2014},
journal = {Microelectron. J.},
author = {{Zhangming Zhu} and {Minjie Liu} and {Qiyu Wang} and {Yintang Yang}}
}
@article{journals/tcas/LiuDZY14,
title = {A 2.1-Channel Class-D Amplifier Exploited Coupling Virtual-Audio-Image to Enhance Stereo.},
year = {2014},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Lianxi Liu} and {Shijie Deng} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/tcas/ZhuangZY14,
title = {A 19-nW 0.7-V CMOS Voltage Reference With No Amplifiers and No Clock Circuits.},
year = {2014},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Haoyu Zhuang} and {Zhangming Zhu} and {Yintang Yang}}
}
@inproceedings{conf/asicon/LiuTMZY15,
title = {A voltage doubling AC-DC converter with offset-controlled comparators for piezoelectric energy harvester.},
year = {2015},
booktitle = {ASICON},
author = {{Lianxi Liu} and {Wei Tu} and {Junchao Mu} and {Zhangming Zhu} and {Yintang Yang}},
publisher = {IEEE},
booktitle = {2015 IEEE 11th International Conference on ASIC, ASICON 2015, Chengdu, China, November 3-6, 2015}
}
@inproceedings{conf/asscc/WangZYY15,
title = {A 0.45 V, 15.6 nW MOSFET-only sub-threshold voltage reference with no amplifiers.},
year = {2015},
booktitle = {A-SSCC},
author = {{Yutao Wang} and {Zhangming Zhu} and {Jiaojiao Yao} and {Yintang Yang}},
publisher = {IEEE},
booktitle = {IEEE Asian Solid-State Circuits Conference, A-SSCC 2015, Xia'men, China, November 9-11, 2015}
}
@article{journals/ieiceee/DingWLZ15,
title = {Ultra-low energy switching scheme for SAR ADC.},
year = {2015},
journal = {IEICE Electron. Express},
author = {{Ruixue Ding} and {Qinglong Wu} and {Yuhua Liang} and {Zhangming Zhu}}
}
@article{journals/ieiceee/LiZYZ15,
title = {An ultra-low-voltage self-powered energy harvesting rectifier with digital switch control.},
year = {2015},
journal = {IEICE Electron. Express},
author = {{Yani Li} and {Zhangming Zhu} and {Yintang Yang} and {Chaolin Zhang}}
}
@article{journals/ieiceee/LiangZLD15,
title = {Strategy for SAR ADC with 87.5\% area saving and 99.4\% switching energy reduction over conventional approach.},
year = {2015},
journal = {IEICE Electron. Express},
author = {{Yuhua Liang} and {Zhangming Zhu} and {Jian Liu} and {Ruixue Ding}}
}
@article{journals/ieiceee/LiuXMYZ15,
title = {Green phase difference coding with low switching activity for Network-on-Chip.},
year = {2015},
journal = {IEICE Electron. Express},
author = {{Yi Liu 060} and {Changqing Xu} and {Shuai Ma} and {Yintang Yang} and {Zhangming Zhu}}
}
@article{journals/ieiceee/WangYZYY15,
title = {Effects of coaxial through-silicon via on carrier mobility along [100] and [110] crystal directions of (100) silicon.},
year = {2015},
journal = {IEICE Electron. Express},
author = {{Fengjuan Wang} and {Ningmei Yu} and {Zhangming Zhu} and {Xiangkun Yin} and {Yintang Yang}}
}
@article{journals/ieiceee/WangZ15,
title = {Energy-efficient and area-efficient switching scheme based on multi-reference for SAR ADC.},
year = {2015},
journal = {IEICE Electron. Express},
author = {{Hao Wang 058} and {Zhangming Zhu}}
}
@article{journals/ieiceee/WangZ15a,
title = {Energy-efficient and reference-free monotonic capacitor switching scheme with fewest switches for SAR ADC.},
year = {2015},
journal = {IEICE Electron. Express},
author = {{Hao Wang 058} and {Zhangming Zhu}}
}
@article{journals/ieiceee/YaoZWY15,
title = {Variable resolution SAR ADC architecture with 99.6\% reduction in switching energy over conventional scheme.},
year = {2015},
journal = {IEICE Electron. Express},
author = {{Jiaojiao Yao} and {Zhangming Zhu} and {Yutao Wang} and {Yintang Yang}}
}
@article{journals/jcsc/DongLZY15,
title = {A High Linear CMOS Body Effect Compensation Bootstrapped Switch.},
year = {2015},
journal = {J. Circuits Syst. Comput.},
author = {{Siwan Dong} and {Minjie Liu} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/jcsc/LiYZZ15,
title = {Feed-Forward Slope Compensated PFC for Chaos Control.},
year = {2015},
journal = {J. Circuits Syst. Comput.},
author = {{Yani Li} and {Yintang Yang} and {Zhangming Zhu} and {Chaolin Zhang}}
}
@article{journals/jcsc/LiZZY15,
title = {An 8-Bit 0.333-2 GS/s Configurable Time-Interleaved SAR ADC in 65-nm CMOS.},
year = {2015},
journal = {J. Circuits Syst. Comput.},
author = {{Dengquan Li} and {Liang Zhang} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/jcsc/LiangZ15,
title = {A Gain-Tunable Output Buffer for Audio-DAC with Common-Mode Output Independent of Gain Variation.},
year = {2015},
journal = {J. Circuits Syst. Comput.},
author = {{Yuhua Liang} and {Zhangming Zhu}}
}
@article{journals/jcsc/MaZLGY15,
title = {Analog-Based CMOS Duty Cycle Corrector with 50-800 MHz Operating Range.},
year = {2015},
journal = {J. Circuits Syst. Comput.},
author = {{Rui Ma 007} and {Zhangming Zhu} and {Maliang Liu} and {Ping Gan} and {Yintang Yang}}
}
@article{journals/jcsc/WuLGZ15,
title = {A Low Standby Power Primary-Side Regulated Flyback Controller with Fast Dynamic Response.},
year = {2015},
journal = {J. Circuits Syst. Comput.},
author = {{Qiang Wu} and {Yongyuan Li} and {Wei Guo} and {Zhangming Zhu}}
}
@article{journals/jcsc/ZhouLZZ15,
title = {A Routing Aggregation for Load Balancing Network-on-Chip.},
year = {2015},
journal = {J. Circuits Syst. Comput.},
author = {{Xiaofeng Zhou} and {Lu Liu} and {Zhangming Zhu} and {Duan Zhou}}
}
@article{journals/mj/CaoYZY15,
title = {A background digital calibration of split-capacitor 16-bit SAR ADC with sub-binary architecture.},
year = {2015},
journal = {Microelectron. J.},
author = {{Chao Cao} and {Qian Ye} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/mj/LiYZS15,
title = {A 5-GHz LC VCO with digital AAC and AFBS for 2.4 GHz ZigBee transceiver applications.},
year = {2015},
journal = {Microelectron. J.},
author = {{Di Li 003} and {Yintang Yang} and {Zhangming Zhu} and {Zuo-Chen Shi}}
}
@article{journals/mj/LiangZD15,
title = {Calibration algorithm for 16-bit voltage-mode R-2R DAC.},
year = {2015},
journal = {Microelectron. J.},
author = {{Yuhua Liang} and {Zhangming Zhu} and {Ruixue Ding}}
}
@article{journals/mj/LiangZD15a,
title = {A 10-bit 300-MS/s asynchronous SAR ADC with strategy of optimizing settling time for capacitive DAC in 65 nm CMOS.},
year = {2015},
journal = {Microelectron. J.},
author = {{Yuhua Liang} and {Zhangming Zhu} and {Ruixue Ding}}
}
@article{journals/mj/LiuJDZY15,
title = {A low-jitter wide-range duty cycle corrector for high-speed high-precision ADC.},
year = {2015},
journal = {Microelectron. J.},
author = {{Minjie Liu} and {Yingzi Jiang} and {Siwan Dong} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/tcas/WangZYY15,
title = {A 0.45-V, 14.6-nW CMOS Subthreshold Voltage Reference With No Resistors and No BJTs.},
year = {2015},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Yutao Wang} and {Zhangming Zhu} and {Jiaojiao Yao} and {Yintang Yang}}
}
@article{journals/tcas/ZhuL15,
title = {A Floating Buck Controlled Multi-Mode Dimmable LED Driver Using a Stacked NMOS Switch.},
year = {2015},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Zhangming Zhu} and {Yongyuan Li}}
}
@article{journals/tcas/ZhuL15a,
title = {A 0.6-V 38-nW 9.4-ENOB 20-kS/s SAR ADC in 0.18- μm CMOS for Medical Implant Devices.},
year = {2015},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Zhangming Zhu} and {Yuhua Liang}}
}
@article{journals/tcas/ZhuQLD15,
title = {A 6-to-10-Bit 0.5 V-to-0.9 V Reconfigurable 2 MS/s Power Scalable SAR ADC in 0.18 µm CMOS.},
year = {2015},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Zhangming Zhu} and {Zheng Qiu} and {Maliang Liu} and {Ruixue Ding}}
}
@article{journals/tvlsi/LiuZY15,
title = {A High-SFDR 14-bit 500 MS/s Current-Steering D/A Converter in 0.18~µm CMOS.},
year = {2015},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Maliang Liu} and {Zhangming Zhu} and {Yintang Yang}}
}
@inproceedings{conf/biocas/BaiWZ16,
title = {A 0.8-V 1.7-μW25.9-fJ continuous-time sigma-delta modulator for biomedical applications.},
year = {2016},
booktitle = {BioCAS},
author = {{Wenbin Bai} and {Yifei Wang} and {Zhangming Zhu}},
publisher = {IEEE},
booktitle = {IEEE Biomedical Circuits and Systems Conference, BioCAS 2016, Shanghai, China, October 17-19, 2016}
}
@inproceedings{conf/biocas/MuLZY16,
title = {A 0.5 V, 40nW voltage reference for WBAN devices.},
year = {2016},
booktitle = {BioCAS},
author = {{Junchao Mu} and {Lianxi Liu} and {Zhangming Zhu} and {Yintang Yang}},
publisher = {IEEE},
booktitle = {IEEE Biomedical Circuits and Systems Conference, BioCAS 2016, Shanghai, China, October 17-19, 2016}
}
@article{journals/cssp/LiuMMTZY16,
title = {An Ultra-Low-Power Integrated RF Energy Harvesting System in 65-nm CMOS Process.},
year = {2016},
journal = {Circuits Syst. Signal Process.},
author = {{Lianxi Liu} and {Junchao Mu} and {Ning Ma} and {Wei Tu} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/cssp/WangZ16,
title = {An Improved-Linearity, Single-Stage Variable-Gain Amplifier Using Current Squarer for Wider Gain Range.},
year = {2016},
journal = {Circuits Syst. Signal Process.},
author = {{Jingyu Wang 003} and {Zhangming Zhu}}
}
@article{journals/ieiceee/ZhangZ16a,
title = {Synthesis of resonators filters with arbitrary mixed topology using hybrid method.},
year = {2016},
journal = {IEICE Electron. Express},
author = {{Yongliang Zhang} and {Zhangming Zhu}}
}
@article{journals/jcsc/LiuYMZY16,
title = {A Dual Band RF Energy Harvester with Hybrid Threshold Voltage Self-Compensation.},
year = {2016},
journal = {J. Circuits Syst. Comput.},
author = {{Lianxi Liu} and {Wenzhi Yuan} and {Junchao Mu} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/jcsc/WangYZY16,
title = {A High Efficiency Self-Powered Rectifier for Piezoelectric Energy Harvesting Systems.},
year = {2016},
journal = {J. Circuits Syst. Comput.},
author = {{Jingmin Wang} and {Zheng Yang} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/jcsc/ZhangLZY16,
title = {A 10-GS/s 6-Bit Track-and-Hold Amplifier for Time-Interleaved SAR ADCs in 65-nm CMOS.},
year = {2016},
journal = {J. Circuits Syst. Comput.},
author = {{Liang Zhang} and {Dengquan Li} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/mj/BaiZ16,
title = {A 0.5-V power-efficient low-noise CMOS instrumentation amplifier for wireless biosensor.},
year = {2016},
journal = {Microelectron. J.},
author = {{Wenbin Bai} and {Zhangming Zhu}}
}
@article{journals/mj/GuoLZ16,
title = {An asynchronous 12-bit 50 MS/s rail-to-rail Pipeline-SAR ADC in 0.18 μm CMOS.},
year = {2016},
journal = {Microelectron. J.},
author = {{Wei Guo} and {Shubin Liu} and {Zhangming Zhu}}
}
@article{journals/mj/LiZZY16,
title = {A background fast convergence algorithm for timing skew in time-interleaved ADCs.},
year = {2016},
journal = {Microelectron. J.},
author = {{Dengquan Li} and {Zhangming Zhu} and {Liang Zhang} and {Yintang Yang}}
}
@article{journals/mj/LiuGZ16,
title = {An automatic mode low-jitter pulsewidth control loop with broadband operation frequency.},
year = {2016},
journal = {Microelectron. J.},
author = {{Shubin Liu} and {Wei Guo} and {Zhangming Zhu}}
}
@article{journals/mj/LuZYD16,
title = {Analysis of propagation delay and repeater insertion in single-walled carbon nanotube bundle interconnects.},
year = {2016},
journal = {Microelectron. J.},
author = {{Qijun Lu} and {Zhangming Zhu} and {Yintang Yang} and {Ruixue Ding}}
}
@article{journals/mj/ShenLZ16,
title = {A 12-bit 50MS/s zero-crossing-based two-stage pipelined SAR ADC in 0.18 µm CMOS.},
year = {2016},
journal = {Microelectron. J.},
author = {{Yi Shen 007} and {Shubin Liu} and {Zhangming Zhu}}
}
@article{journals/mj/ShenZ16,
title = {Analysis and optimization of the two-stage pipelined SAR ADCs.},
year = {2016},
journal = {Microelectron. J.},
author = {{Yi Shen 007} and {Zhangming Zhu}}
}
@article{journals/mj/YangZZD16,
title = {A low-distortion CMOS analogue voltage follower for high-speed ADCs.},
year = {2016},
journal = {Microelectron. J.},
author = {{Yintang Yang} and {Liang Zhang} and {Zhangming Zhu} and {Ruixue Ding}}
}
@article{journals/tcas/LiuSZ16,
title = {A 12-Bit 10 MS/s SAR ADC With High Linearity and Energy-Efficient Switching.},
year = {2016},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Shubin Liu} and {Yi Shen 007} and {Zhangming Zhu}}
}
@article{journals/tcas/ZhuB16,
title = {A 0.5-V 1.3-µW Analog Front-End CMOS Circuit.},
year = {2016},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Zhangming Zhu} and {Wenbin Bai}}
}
@article{journals/tcas/ZhuHW16,
title = {A 0.45 V, Nano-Watt 0.033\% Line Sensitivity MOSFET-Only Sub-Threshold Voltage Reference With no Amplifiers.},
year = {2016},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Zhangming Zhu} and {Jin Hu 006} and {Yutao Wang}}
}
@article{journals/cssp/SongZY17,
title = {A Fast-Settling Three-Stage Amplifier Using Regular Miller Plus Reversed Indirect Compensation.},
year = {2017},
journal = {Circuits Syst. Signal Process.},
author = {{Cheng Song} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/cssp/ZhuangZWY17,
title = {CDS Circuit with High-Performance VGA Functionality and Its Design Procedure.},
year = {2017},
journal = {Circuits Syst. Signal Process.},
author = {{Haoyu Zhuang} and {Zhangming Zhu} and {Jingyu Wang 003} and {Yintang Yang}}
}
@article{journals/ieiceee/XuLZY17,
title = {An efficient energy and thermal-aware mapping for regular network-on-chip.},
year = {2017},
journal = {IEICE Electron. Express},
author = {{Changqing Xu} and {Yi Liu 060} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/jcsc/LiZYSW17,
title = {A Novel Interface Circuit with 99.2\% MPPT Accuracy and 1.3\% THD for Energy Harvesting.},
year = {2017},
journal = {J. Circuits Syst. Comput.},
author = {{Yani Li} and {Zhangming Zhu} and {Yintang Yang} and {Yadong Sun} and {Xu Wang}}
}
@article{journals/jcsc/LiuZMLZY17,
title = {A Near-Threshold Voltage Startup Monolithic Boost Converter with Adaptive Sleeping Time Control.},
year = {2017},
journal = {J. Circuits Syst. Comput.},
author = {{Lianxi Liu} and {Yiyang Zhou} and {Junchao Mu} and {Xufeng Liao} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/jcsc/ZhouLZ17,
title = {A Fault-Tolerant Deflection Routing for Network-on-Chip.},
year = {2017},
journal = {J. Circuits Syst. Comput.},
author = {{Xiaofeng Zhou} and {Lu Liu} and {Zhangming Zhu}}
}
@article{journals/mj/BaiZ17,
title = {A 0.5-V 9.3-ENOB 68-nW 10-kS/s SAR ADC in 0.18-μm CMOS for biomedical applications.},
year = {2017},
journal = {Microelectron. J.},
author = {{Wenbin Bai} and {Zhangming Zhu}}
}
@article{journals/mj/DingLLZ17,
title = {Analysis and optimal distribution scheme for SAR-VCO ADCs.},
year = {2017},
journal = {Microelectron. J.},
author = {{Ruixue Ding} and {Hongzhi Liang} and {Shubin Liu} and {Zhangming Zhu}}
}
@article{journals/mj/GuoZ17,
title = {A 0.3 V 8-bit 8.9 fJ/con.-step SAR ADC with sub-DAC merged switching for bio-sensors.},
year = {2017},
journal = {Microelectron. J.},
author = {{Wei Guo} and {Zhangming Zhu}}
}
@article{journals/mj/LiangZ17,
title = {A 0.6 V 31 nW 25 ppm/°C MOSFET-only sub-threshold voltage reference.},
year = {2017},
journal = {Microelectron. J.},
author = {{Yuhua Liang} and {Zhangming Zhu}}
}
@article{journals/mj/LiuLHMZ17,
title = {A 12-bit 200MS/s pipeline ADC with 91 mW power and 66 dB SNDR.},
year = {2017},
journal = {Microelectron. J.},
author = {{Maliang Liu} and {Kaixiong Lian} and {Yingzhou Huang} and {Rui Ma 007} and {Zhangming Zhu}}
}
@article{journals/mj/LiuSMGZY17,
title = {A high accuracy CMOS subthreshold voltage reference with offset cancellation and thermal compensation.},
year = {2017},
journal = {Microelectron. J.},
author = {{Lianxi Liu} and {Yu Song} and {Junchao Mu} and {Wei Guo} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/mj/LiuZSZY17,
title = {A current-reuse dual-channel bio-signal amplifier for WBAN nodes.},
year = {2017},
journal = {Microelectron. J.},
author = {{Lianxi Liu} and {Yi Zhang} and {Yu Song} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/mj/LiuZZY17,
title = {A fair arbitration for Network-on-Chip routing with odd-even turn model.},
year = {2017},
journal = {Microelectron. J.},
author = {{Lu Liu} and {Zhangming Zhu} and {Duan Zhou} and {Yintang Yang}}
}
@article{journals/mj/WangZLD17,
title = {A low-noise programmable gain amplifier with fully balanced differential difference amplifier and class-AB output stage.},
year = {2017},
journal = {Microelectron. J.},
author = {{Jingyu Wang 003} and {Zhangming Zhu} and {Shubin Liu} and {Ruixue Ding}}
}
@article{journals/mj/YangYZW17,
title = {An active dry electrode ecg interface circuit for wearable sensors.},
year = {2017},
journal = {Microelectron. J.},
author = {{Yintang Yang} and {Zheng Yang} and {Zhangming Zhu} and {Jingmin Wang}}
}
@article{journals/mj/ZhengMZ17,
title = {Design of linear dynamic range and high sensitivity matrix quadrant APDs ROIC for position sensitive detector application.},
year = {2017},
journal = {Microelectron. J.},
author = {{Hao Zheng} and {Rui Ma 007} and {Zhangming Zhu}}
}
@article{journals/mj/ZhouZ17,
title = {A dynamic task mapping algorithm for SDNoC.},
year = {2017},
journal = {Microelectron. J.},
author = {{Xiaofeng Zhou} and {Zhangming Zhu}}
}
@article{journals/tcas/MuLZY17,
title = {A 58-ppm/°C 40-nW BGR at Supply From 0.5 V for Energy Harvesting IoT Devices.},
year = {2017},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Junchao Mu} and {Lianxi Liu} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/tcas/ZhuW17,
title = {A Compact High-Performance Programmable-Gain Analog Front End for HomePlug AV2 Communication in 0.18- \$\\mu \\text\{m\}\$ CMOS.},
year = {2017},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Zhangming Zhu} and {Jingyu Wang 003}}
}
@article{journals/tie/WuZ17,
title = {A Versatile OCP Control Scheme for Discontinuous Conduction Mode Flyback AC/DC Converters.},
year = {2017},
journal = {IEEE Trans. Ind. Electron.},
author = {{Qiang Wu} and {Zhangming Zhu}}
}
@article{journals/tvlsi/LiZ17,
title = {A 30-W 90\% Efficiency Dual-Mode Controlled DC-DC Controller With Power Over Ethernet Interface for Power Device.},
year = {2017},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Yongyuan Li} and {Zhangming Zhu}}
}
@inproceedings{conf/apccas/HuLLDZ18,
title = {A 10-KS/s 625-Hz-Bandwidth 60-dB SNDR Noise-Shaping ADC for Bio-potential Signals Detection Application.},
year = {2018},
booktitle = {APCCAS},
author = {{Jin Hu 006} and {Maliang Liu} and {Shubin Liu} and {Ruixue Ding} and {Zhangming Zhu}},
publisher = {IEEE},
booktitle = {2018 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2018, Chengdu, China, October 26-30, 2018}
}
@inproceedings{conf/apccas/LiDZY18,
title = {A Background Timing Skew Calibration Technique in Time-Interleaved ADCs With Second Order Compensation.},
year = {2018},
booktitle = {APCCAS},
author = {{Dengquan Li} and {Ruixue Ding} and {Zhangming Zhu} and {Yintang Yang}},
publisher = {IEEE},
booktitle = {2018 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2018, Chengdu, China, October 26-30, 2018}
}
@inproceedings{conf/apccas/LiuZDLLYY18,
title = {Inductance of Different Profiles of Through Glass Vias based on magnetic flux density.},
year = {2018},
booktitle = {APCCAS},
author = {{Yang Liu 106} and {Zhangming Zhu} and {Ruixue Ding} and {Xiaoxian Liu} and {Qijun Lu} and {Xiangkun Yin} and {Yintang Yang}},
publisher = {IEEE},
booktitle = {2018 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2018, Chengdu, China, October 26-30, 2018}
}
@inproceedings{conf/apccas/ZhuangTPZ18,
title = {A 0.5-nW 29ppm/°C Voltage Reference Circuit.},
year = {2018},
booktitle = {APCCAS},
author = {{Haoyu Zhuang} and {He Tang} and {Xizhu Peng} and {Zhangming Zhu}},
publisher = {IEEE},
booktitle = {2018 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2018, Chengdu, China, October 26-30, 2018}
}
@article{journals/ieiceee/LiuZLGG18,
title = {Temperature-dependent characterizations on parasitic capacitance of tapered through silicon via (T-TSV).},
year = {2018},
journal = {IEICE Electron. Express},
author = {{Yang Liu 106} and {Zhangming Zhu} and {Xiaoxian Liu} and {Huaxi Gu} and {Lixin Guo}}
}
@article{journals/jcsc/LiangDLZ18,
title = {Energy-Efficient and Area-Saving Asymmetric Capacitor Switching Scheme for SAR ADCs.},
year = {2018},
journal = {J. Circuits Syst. Comput.},
author = {{Hongzhi Liang} and {Ruixue Ding} and {Shubin Liu} and {Zhangming Zhu}}
}
@article{journals/jcsc/LiangZ18,
title = {An Energy-Efficient Switching Scheme for Low-Power SAR ADC Design.},
year = {2018},
journal = {J. Circuits Syst. Comput.},
author = {{Yuhua Liang} and {Zhangming Zhu}}
}
@article{journals/jcsc/LiangZ18a,
title = {Analysis and Modeling of a SAR-VCO Hybrid ADC Architecture.},
year = {2018},
journal = {J. Circuits Syst. Comput.},
author = {{Yuhua Liang} and {Zhangming Zhu}}
}
@article{journals/jcsc/LiangZ18b,
title = {A 42ppm/∘C 0.7V 47nW Low-Complexity All-MOSFET Sub-Threshold Voltage Reference.},
year = {2018},
journal = {J. Circuits Syst. Comput.},
author = {{Yuhua Liang} and {Zhangming Zhu}}
}
@article{journals/jcsc/LiuLHZY18,
title = {Adaptive On-Time-Controlled PFM Boost Converter with a Below-Threshold Startup Voltage.},
year = {2018},
journal = {J. Circuits Syst. Comput.},
author = {{Lianxi Liu} and {Xufeng Liao} and {Wenbin Huang 001} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/jcsc/LiuPLZY18,
title = {A Power-Enhanced Active Rectifier with Offset-Controlled Comparator for Self-Powered PEH Systems.},
year = {2018},
journal = {J. Circuits Syst. Comput.},
author = {{Lianxi Liu} and {Yanbo Pang} and {Xufeng Liao} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/jcsc/ZhuLZ18,
title = {A High Energy Efficiency and Low Common-Mode Voltage Variation Switching Scheme for SAR ADCs.},
year = {2018},
journal = {J. Circuits Syst. Comput.},
author = {{Donglin Zhu} and {Maliang Liu} and {Zhangming Zhu}}
}
@article{journals/mj/DongZ18,
title = {A transconductance-enhancement cascode Miller compensation for low-power multistage amplifiers.},
year = {2018},
journal = {Microelectron. J.},
author = {{Siwan Dong} and {Zhangming Zhu}}
}
@article{journals/mj/LiangZ18,
title = {A 10bit 20 kS/s 17.7 nW 9.1ENOB reference-insensitive SAR ADC in 0.18 μm CMOS.},
year = {2018},
journal = {Microelectron. J.},
author = {{Yuhua Liang} and {Zhangming Zhu}}
}
@article{journals/mj/LiuCHMZY18,
title = {A dual mode step-down switched-capacitor DC-DC converter with adaptive switch width modulation.},
year = {2018},
journal = {Microelectron. J.},
author = {{Lianxi Liu} and {Hao Chen} and {Tianyuan Hua} and {Junchao Mu} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/mj/LiuHMZY18,
title = {A 1.2 V, 3.0 ppm/°C, 3.6 μA CMOS bandgap reference with novel 3-order curvature compensation.},
year = {2018},
journal = {Microelectron. J.},
author = {{Lianxi Liu} and {Wenbin Huang 001} and {Junchao Mu} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/mj/MaWLDZ18,
title = {A 10-bit 100-MS/s 5.23-mW SAR ADC in 0.18-μm CMOS.},
year = {2018},
journal = {Microelectron. J.},
author = {{Rui Ma 007} and {Lisha Wang} and {Dengquan Li} and {Ruixue Ding} and {Zhangming Zhu}}
}
@article{journals/mj/ZhangLZ18,
title = {A charge-sharing switching scheme for SAR ADCs in biomedical applications.},
year = {2018},
journal = {Microelectron. J.},
author = {{Yanbo Zhang} and {Yani Li} and {Zhangming Zhu}}
}
@article{journals/tcas/LiZDY18,
title = {A 1.4-mW 10-Bit 150-MS/s SAR ADC With Nonbinary Split Capacitive DAC in 65-nm CMOS.},
year = {2018},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Dengquan Li} and {Zhangming Zhu} and {Ruixue Ding} and {Yintang Yang}}
}
@article{journals/tcas/LiuMZ18,
title = {A 0.55-V, 28-ppm/°C, 83-nW CMOS Sub-BGR With UltraLow Power Curvature Compensation.},
year = {2018},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Lianxi Liu} and {Junchao Mu} and {Zhangming Zhu}}
}
@article{journals/tcas/MaLZZ18,
title = {A 77-dB Dynamic Range Low-Power Variable-Gain Transimpedance Amplifier for Linear LADAR.},
year = {2018},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Rui Ma 007} and {Maliang Liu} and {Hao Zheng} and {Zhangming Zhu}}
}
@article{journals/tcas/ShenZLY18,
title = {A Reconfigurable 10-to-12-b 80-to-20-MS/s Bandwidth Scalable SAR ADC.},
year = {2018},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Yi Shen 007} and {Zhangming Zhu} and {Shubin Liu} and {Yintang Yang}}
}
@article{journals/tcas/WangLSZ18,
title = {Low-Power Single-Ended SAR ADC Using Symmetrical DAC Switching for Image Sensors With Passive CDS and PGA Technique.},
year = {2018},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Jingyu Wang 003} and {Shubin Liu} and {Yi Shen 007} and {Zhangming Zhu}}
}
@article{journals/tim/ZhengMLZ18,
title = {A Linear Dynamic Range Receiver With Timing Discrimination for Pulsed TOF Imaging LADAR Application.},
year = {2018},
journal = {IEEE Trans. Instrum. Meas.},
author = {{Hao Zheng} and {Rui Ma 007} and {Maliang Liu} and {Zhangming Zhu}}
}
@inproceedings{conf/cicc/LiLZZYS19,
title = {A 7b 2.6mW 900MS/s Nonbinary 2-then-3b/cycle SAR ADC with Background Offset Calibration.},
year = {2019},
booktitle = {CICC},
author = {{Dengquan Li} and {Jiaxin Liu} and {Haoyu Zhuang} and {Zhangming Zhu} and {Yintang Yang} and {Nan Sun 001}},
publisher = {IEEE},
booktitle = {IEEE Custom Integrated Circuits Conference, CICC 2019, Austin, TX, USA, April 14-17, 2019}
}
@inproceedings{conf/cicc/TangSSZZSS19,
title = {A 10b 120MS/s SAR ADC with Reference Ripple Cancellation Technique.},
year = {2019},
booktitle = {CICC},
author = {{Xiyuan Tang} and {Yi Shen 007} and {Linxiao Shen} and {Wenda Zhao} and {Zhangming Zhu} and {Visvesh Sathe 001} and {Nan Sun 001}},
publisher = {IEEE},
booktitle = {IEEE Custom Integrated Circuits Conference, CICC 2019, Austin, TX, USA, April 14-17, 2019}
}
@article{journals/jcsc/LiMLDZ19,
title = {A High Gain, 808MHz GBW Four-Stage OTA in 65nm CMOS.},
year = {2019},
journal = {J. Circuits Syst. Comput.},
author = {{Zhe Li} and {Rui Ma 007} and {Maliang Liu} and {Ruixue Ding} and {Zhangming Zhu}}
}
@article{journals/jcsc/LiuCMHZ19,
title = {A Self-Powered P-SSHI Interface Circuit with Adaptive On-Resistance Active Diode for PEH.},
year = {2019},
journal = {J. Circuits Syst. Comput.},
author = {{Lianxi Liu} and {Jiangwei Cheng} and {Junchao Mu} and {Chaojin Huang} and {Zhangming Zhu}}
}
@article{journals/jcsc/LiuGMZ19,
title = {A Low Power and Low Current-Mismatch Charge Pump with Dynamic Current Compensation.},
year = {2019},
journal = {J. Circuits Syst. Comput.},
author = {{Lianxi Liu} and {Shaopu Gao} and {Junchao Mu} and {Zhangming Zhu}}
}
@article{journals/jcsc/LiuZJZY19,
title = {A Low Complexity Digital Foreground Calibration Technique for CMOS Pipelined ADCs.},
year = {2019},
journal = {J. Circuits Syst. Comput.},
author = {{Maliang Liu} and {Sirui Zhang} and {Hu Jin} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/jssc/ShenSSLSTLZZZ19,
title = {A Two-Step ADC With a Continuous-Time SAR-Based First Stage.},
year = {2019},
journal = {IEEE J. Solid State Circuits},
author = {{Linxiao Shen} and {Nan Sun 001} and {Yi Shen 007} and {Zhelu Li} and {Wei Shi} and {Xiyuan Tang} and {Shaolan Li} and {Wenda Zhao} and {Mantian Zhang} and {Zhangming Zhu}}
}
@article{journals/jssc/ZhuangGLTZCS19,
title = {A Second-Order Noise-Shaping SAR ADC With Passive Integrator and Tri-Level Voting.},
year = {2019},
journal = {IEEE J. Solid State Circuits},
author = {{Haoyu Zhuang} and {Wenjuan Guo} and {Jiaxin Liu} and {He Tang} and {Zhangming Zhu} and {Long Chen 004} and {Nan Sun 001}}
}
@article{journals/mj/DuanLZY19,
title = {A 15ps resolution time-to-digital converter with on-chip PLL counting for LiDAR multi-object sensors.},
year = {2019},
journal = {Microelectron. J.},
author = {{Jiangkun Duan} and {Maliang Liu} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/mj/Liu0Z19,
title = {An encapsulated packet-selection routing for network on chip.},
year = {2019},
journal = {Microelectron. J.},
author = {{Lu Liu} and {Rui Ma 007} and {Zhangming Zhu}}
}
@article{journals/mj/LiuCLHMZ19,
title = {A low cross-regulation and high-efficiency SIDO boost converter with near-threshold start-up.},
year = {2019},
journal = {Microelectron. J.},
author = {{Lianxi Liu} and {Cheng Chen} and {Xufeng Liao} and {Wenbin Huang 001} and {Junchao Mu} and {Zhangming Zhu}}
}
@article{journals/mj/LiuLZY19,
title = {A high linear voltage-to-time converter (VTC) with 1.2 V input range for time-domain analog-to-digital converters.},
year = {2019},
journal = {Microelectron. J.},
author = {{Haizhu Liu} and {Maliang Liu} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/mj/LiuZLGY19,
title = {Broadband inductance modeling of TXVs for 3D interconnection.},
year = {2019},
journal = {Microelectron. J.},
author = {{Yang Liu 106} and {Zhangming Zhu} and {Xiaoxian Liu} and {Lixin Guo} and {Yintang Yang}}
}
@article{journals/mj/LiuZLLYGY19,
title = {Electromagnetic modeling and analysis of the tapered differential through glass vias.},
year = {2019},
journal = {Microelectron. J.},
author = {{Yang Liu 106} and {Zhangming Zhu} and {Xiaoxian Liu} and {Qijun Lu} and {Xiangkun Yin} and {Lixin Guo} and {Yintang Yang}}
}
@article{journals/mj/WangLZ19,
title = {A 0.6-V pseudo-differential OTA with switched-opamp technique for low power applications.},
year = {2019},
journal = {Microelectron. J.},
author = {{Jingyu Wang 003} and {Yongyuan Li} and {Zhangming Zhu}}
}
@article{journals/tcas/LiZDLYS19,
title = {A 10-Bit 600-MS/s Time-Interleaved SAR ADC With Interpolation-Based Timing Skew Calibration.},
year = {2019},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Dengquan Li} and {Zhangming Zhu} and {Ruixue Ding} and {Maliang Liu} and {Yintang Yang} and {Nan Sun 001}}
}
@article{journals/tcas/LiuZWLY19,
title = {A 1.2-V 2.41-GHz Three-Stage CMOS OTA With Efficient Frequency Compensation Technique.},
year = {2019},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Shubin Liu} and {Zhangming Zhu} and {Jingyu Wang 003} and {Lianxi Liu} and {Yintang Yang}}
}
@article{journals/tcas/XieLLLZ19,
title = {A 10-Bit 5 MS/s VCO-SAR ADC in 0.18-µm CMOS.},
year = {2019},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Yi Xie} and {Yuhua Liang} and {Maliang Liu} and {Shubin Liu} and {Zhangming Zhu}}
}
@article{journals/tvlsi/LiangZLGDN19,
title = {Utilization of Negative-Capacitance FETs to Boost Analog Circuit Performances.},
year = {2019},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Yuhua Liang} and {Zhangming Zhu} and {Xueqing Li} and {Sumeet Kumar Gupta} and {Suman Datta} and {Vijaykrishnan Narayanan}}
}
@inproceedings{conf/vlsic/TangSXLCZS20,
title = {A 10-Bit 100-MS/s SAR ADC with Always-on Reference Ripple Cancellation.},
year = {2020},
booktitle = {VLSI Circuits},
author = {{Xiyuan Tang} and {Yi Shen 007} and {Xin Xin} and {Shubin Liu} and {Jueping Cai} and {Zhangming Zhu} and {Nan Sun 001}},
publisher = {IEEE},
booktitle = {IEEE Symposium on VLSI Circuits, VLSI Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}
}
@article{journals/access/ZhangLZHXLC20,
title = {Millimeter-Wave Antenna-in-Package Applications Based on D263T Glass Substrate.},
year = {2020},
journal = {IEEE Access},
author = {{Tao Zhang 086} and {Qijun Lu} and {Zhangming Zhu} and {Jin-can Hu} and {Haiyang Xia} and {Lianming Li} and {Tie Jun Cui}}
}
@article{journals/jcsc/LiuSCZ20,
title = {An Efficient Interface Circuit for Miniature Piezoelectric Energy Harvesting with P-SSHC.},
year = {2020},
journal = {J. Circuits Syst. Comput.},
author = {{Lianxi Liu} and {Yu Shang} and {Jiangwei Cheng} and {Zhangming Zhu}}
}
@article{journals/jssc/LiZLZYS20,
title = {A 7-bit 900-MS/s 2-Then-3-bit/cycle SAR ADC With Background Offset Calibration.},
year = {2020},
journal = {IEEE J. Solid State Circuits},
author = {{Dengquan Li} and {Zhangming Zhu} and {Jiaxin Liu} and {Haoyu Zhuang} and {Yintang Yang} and {Nan Sun 001}}
}
@article{journals/jssc/ShenTSZXLZSS20,
title = {A 10-bit 120-MS/s SAR ADC With Reference Ripple Cancellation Technique.},
year = {2020},
journal = {IEEE J. Solid State Circuits},
author = {{Yi Shen 007} and {Xiyuan Tang} and {Linxiao Shen} and {Wenda Zhao} and {Xin Xin} and {Shubin Liu} and {Zhangming Zhu} and {Visvesh Sathe 001} and {Nan Sun 001}}
}
@article{journals/mj/0106ZLLYY20,
title = {Physics based scalable inductance model for three-dimensional solenoid inductors.},
year = {2020},
journal = {Microelectron. J.},
author = {{Yang Liu 106} and {Zhangming Zhu} and {Xiaoxian Liu} and {Qijun Lu} and {Xiangkun Yin} and {Yintang Yang}}
}
@article{journals/mj/DingDLSLZ20,
title = {A 7b 400 MS/s pipelined SAR ADC in 65 nm CMOS.},
year = {2020},
journal = {Microelectron. J.},
author = {{Ruixue Ding} and {Li Dang} and {Hanchao Lin} and {Depeng Sun} and {Shubin Liu} and {Zhangming Zhu}}
}
@article{journals/mj/WangZW0Z20,
title = {A 10-bit SAR ADC using novel LSB-first successive approximation for reduced bitcycles.},
year = {2020},
journal = {Microelectron. J.},
author = {{Ling Wang} and {Chenggao Zhang} and {Jingyu Wang 003} and {Rui Ma 007} and {Zhangming Zhu}}
}
@article{journals/tcas/LiLZMDZ20,
title = {An 8-Bit 2.1-mW 350-MS/s SAR ADC With 1.5 b/cycle Redundancy in 65-nm CMOS.},
year = {2020},
journal = {IEEE Trans. Circuits Syst.},
author = {{Dengquan Li} and {Maliang Liu} and {Lei Zhao} and {Henghui Mao} and {Ruixue Ding} and {Zhangming Zhu}}
}
@article{journals/tcas/LiuHMCZ20,
title = {A P&O MPPT With a Novel Analog Power-Detector for WSNs Applications.},
year = {2020},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Lianxi Liu} and {Chaojin Huang} and {Junchao Mu} and {Jiangwei Cheng} and {Zhangming Zhu}}
}
@article{journals/tcas/LiuHSZ20,
title = {A 12-Bit 100-MS/s Pipelined-SAR ADC With PVT-Insensitive and Gain-Folding Dynamic Amplifier.},
year = {2020},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Shubin Liu} and {Haolin Han} and {Yi Shen 007} and {Zhangming Zhu}}
}
@article{journals/tcas/LiuHZMZ20,
title = {A Robust Bio-IA With Digitally Controlled DC-Servo Loop and Improved Pseudo-Resistor.},
year = {2020},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Lianxi Liu} and {Tianyuan Hua} and {Yi Zhang} and {Junchao Mu} and {Zhangming Zhu}}
}
@article{journals/tcas/LiuLLZ20,
title = {A 60-m Range 6.16-mW Laser-Power Linear-Mode LiDAR System With Multiplex ADC/TDC in 65-nm CMOS.},
year = {2020},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Maliang Liu} and {Haizhu Liu} and {Xiongzheng Li} and {Zhangming Zhu}}
}
@article{journals/tcas/Wang0LZLZ20,
title = {A Low Walk Error Analog Front-End Circuit With Intensity Compensation for Direct ToF LiDAR.},
year = {2020},
journal = {IEEE Trans. Circuits Syst.},
author = {{Xiayu Wang} and {Rui Ma 007} and {Dong Li} and {Hao Zheng} and {Maliang Liu} and {Zhangming Zhu}}
}
@article{journals/tcas/WangZ20,
title = {A 0.6-V 9-bit 1-MS/s Charging Sharing SAR ADC With Judging-Window Switching Logic and Independent Reset Comparator for Power-Effective Applications.},
year = {2020},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Jingyu Wang 003} and {Zhangming Zhu}}
}
@article{journals/tcas/ZhangLTZCZ20,
title = {A 2nd-Order Noise-Shaping SAR ADC With Lossless Dynamic Amplifier Assisted Integrator.},
year = {2020},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Yanbo Zhang} and {Shubin Liu} and {Binbin Tian} and {Yan Zhu 001} and {Chi-Hang Chan} and {Zhangming Zhu}}
}
@article{journals/tcas/ZhangRLCZ20,
title = {An 11-bit 100-MS/s Pipelined-SAR ADC Reusing PVT-Stabilized Dynamic Comparator in 65-nm CMOS.},
year = {2020},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Jin Zhang} and {Xiaoqian Ren} and {Shubin Liu} and {Chi-Hang Chan} and {Zhangming Zhu}}
}
@article{journals/tcas/ZhangWWLLZ20,
title = {High Input Impedance Low-Noise CMOS Analog Frontend IC for Wearable Electrocardiogram Monitoring.},
year = {2020},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Chenggao Zhang} and {Jingyu Wang 003} and {Ling Wang} and {Lianxi Liu} and {Yani Li} and {Zhangming Zhu}}
}
@article{journals/tcas/Zheng0WLZ20,
title = {A CMOS Peak Detect and Hold Circuit With Auto-Adjust Charging Current for NS-Scale Pulse ToF Lidar Application.},
year = {2020},
journal = {IEEE Trans. Circuits Syst.},
author = {{Hao Zheng} and {Rui Ma 007} and {Xiayu Wang} and {Maliang Liu} and {Zhangming Zhu}}
}
@article{journals/tcasII/LiuLZ20,
title = {A Dual-Supply Two-Stage CMOS Op-amp for High-Speed Pipeline ADCs Application.},
year = {2020},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Maliang Liu} and {Dengquan Li} and {Zhangming Zhu}}
}
@article{journals/tim/LiLMZ20,
title = {An 8-ch LIDAR Receiver Based on TDC With Multi-Interval Detection and Real-Time \$In~Situ\$ Calibration.},
year = {2020},
journal = {IEEE Trans. Instrum. Meas.},
author = {{Dong Li} and {Maliang Liu} and {Rui Ma 007} and {Zhangming Zhu}}
}
@article{journals/tim/MaLZZ20,
title = {A 66-dB Linear Dynamic Range, 100-dB· \$\\Omega\$ Transimpedance Gain TIA With High-Speed PDSH for LiDAR.},
year = {2020},
journal = {IEEE Trans. Instrum. Meas.},
author = {{Rui Ma 007} and {Maliang Liu} and {Hao Zheng} and {Zhangming Zhu}}
}
@article{journals/tvlsi/LiuXLZY20,
title = {Ultrawideband Power-Switchable Transmitter With 17.7-dBm Output Power for See-Through-Wall Radar.},
year = {2020},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Maliang Liu} and {Jinhai Xiao} and {Peng Luo} and {Zhangming Zhu} and {Yintang Yang}}
}
@inproceedings{conf/cicc/ZhangZLZZCM21,
title = {A 79.1dB-SNDR 20MHz-BW 2nd-Order SAR-Assisted Noise-Shaping Pipeline ADC with Gain and Offset Background Calibrations Based on Convergence Enhanced Split-Over-Time Architecture.},
year = {2021},
booktitle = {CICC},
author = {{Yanbo Zhang} and {Jin Zhang} and {Shubin Liu} and {Zhangming Zhu} and {Yan Zhu 001} and {Chi-Hang Chan} and {Rui Paulo Martins}},
publisher = {IEEE},
booktitle = {IEEE Custom Integrated Circuits Conference, CICC 2021, Austin, TX, USA, April 25-30, 2021}
}
@article{journals/chinaf/HaoXHZMZGZHSLYZ21,
title = {Recent progress of integrated circuits and optoelectronic chips.},
year = {2021},
journal = {Sci. China Inf. Sci.},
author = {{Yue Hao} and {Shuiying Xiang} and {Genquan Han} and {Jincheng Zhang} and {Xiaohua Ma} and {Zhangming Zhu} and {Xingxing Guo} and {Yahui Zhang} and {Yanan Han} and {Ziwei Song} and {Yan Liu} and {Ling Yang 003} and {Hong Zhou} and {Jiangyi Shi} and {Wei Zhang} and {Min Xu} and {Weisheng Zhao} and {Biao Pan} and {Yangqi Huang} and {Qi Liu} and {Yimao Cai} and {Jian Zhu} and {Xin Ou} and {Tiangui You} and {Huaqiang Wu} and {Bin Gao 006} and {Zhiyong Zhang} and {Guoping Guo} and {Yonghua Chen} and {Yong Liu} and {Xiangfei Chen} and {Chunlai Xue} and {Xingjun Wang} and {Lixia Zhao} and {Xihua Zou} and {Lianshan Yan} and {Ming Li}}
}
@article{journals/jcsc/ZhaoLMDZ21,
title = {A 32-GS/s Front-End Sampling Circuit Achieving >39 dB SNDR for Time-Interleaved ADCs in 65-nm CMOS.},
year = {2021},
journal = {J. Circuits Syst. Comput.},
author = {{Lei Zhao} and {Dengquan Li} and {Henghui Mao} and {Ruixue Ding} and {Zhangming Zhu}}
}
@article{journals/mj/CaoLLWMZ21,
title = {A 2.6 GΩ, 1.4 μVrms current-reuse instrumentation amplifier for wearable electrocardiogram monitoring.},
year = {2021},
journal = {Microelectron. J.},
author = {{Wenfei Cao} and {Yi Liu 060} and {Shubin Liu} and {Ling Wang} and {Rui Ma 007} and {Zhangming Zhu}}
}
@article{journals/mj/LiuZLZ21,
title = {A single-inductor thermoelectric and photovoltaic hybrid harvesting interface with time-multiplexed technology and accurate zero current detector.},
year = {2021},
journal = {Microelectron. J.},
author = {{Lianxi Liu} and {Peichao Zhang} and {Xufeng Liao} and {Zhangming Zhu}}
}
@article{journals/mj/XiaoLZ21,
title = {Low walk error multi-stage cascade comparator for TOF LiDAR application.},
year = {2021},
journal = {Microelectron. J.},
author = {{Jinhai Xiao} and {Maliang Liu} and {Zhangming Zhu}}
}
@article{journals/mj/Zhu21,
title = {MEJ scope 2021.},
year = {2021},
journal = {Microelectron. J.},
author = {{Zhangming Zhu}}
}
@article{journals/tcasII/HuangLZ21,
title = {A Sub-200nW All-in-One Bandgap Voltage and Current Reference Without Amplifiers.},
year = {2021},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Wenbin Huang 001} and {Lianxi Liu} and {Zhangming Zhu}}
}
@article{journals/tcasII/ZhuZLL21,
title = {A TD-ADC for IR-UWB Radars With Equivalent Sampling Technology and 8-GS/s Effective Sampling Rate.},
year = {2021},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Zhangming Zhu} and {Yu Zhu} and {Dengquan Li} and {Maliang Liu}}
}
@article{journals/tvlsi/LiuLDZ21,
title = {A Conversion Mode Reconfigurable SAR ADC for Multistandard Systems.},
year = {2021},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Jian Liu} and {Shubin Liu} and {Ruixue Ding} and {Zhangming Zhu}}
}
@article{journals/tvlsi/QuZEWL21,
title = {Area-Efficient Extended 3-D Inductor Based on TSV Technology for RF Applications.},
year = {2021},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Chenbing Qu} and {Zhangming Zhu} and {Yunfei En} and {Liwei Wang 003} and {Xiaoxian Liu}}
}
@inproceedings{conf/icta3/FengLDL0Z22,
title = {A Wideband High-linearity Input Buffer Based on Cascade Complementary Source Follower.},
year = {2022},
booktitle = {ICTA},
author = {{Tian Feng} and {Dengquan Li} and {Jiale Ding} and {Shubin Liu} and {Yi Shen 007} and {Zhangming Zhu}},
publisher = {IEEE},
booktitle = {2022 IEEE International Conference on Integrated Circuits, Technologies and Applications, ICTA 2022, Xi'an, China, October 28-30, 2022}
}
@article{journals/cssp/DingHLLSZ22,
title = {Analysis and Design of a High-Bandwidth Front-End Sampler for Time-Interleaved ADCs.},
year = {2022},
journal = {Circuits Syst. Signal Process.},
author = {{Jiale Ding} and {Yukai Huang} and {Dengquan Li} and {Shubin Liu} and {Yi Shen 007} and {Zhangming Zhu}}
}
@article{journals/mj/FanLLYZ22,
title = {An effective method to suppress high-order modes of SIW filters with compact size.},
year = {2022},
journal = {Microelectron. J.},
author = {{Chenhui Fan} and {Xiaoxian Liu} and {Nuo Liu} and {Yintang Yang} and {Zhangming Zhu}}
}
@article{journals/mj/FengYLHZZ22,
title = {An efficient EEGNet processor design for portable EEG-Based BCIs.},
year = {2022},
journal = {Microelectron. J.},
author = {{Lichen Feng} and {Liying Yang 001} and {Shubin Liu} and {Chenxi Han} and {Yueqi Zhang} and {Zhangming Zhu}}
}
@article{journals/mj/HuangDLZLSZ22,
title = {A loop-unrolled assisted 9b 700 MS/s nonbinary 2b/cycle SAR ADC with time-based offset calibration.},
year = {2022},
journal = {Microelectron. J.},
author = {{Yukai Huang} and {Jiale Ding} and {Dengquan Li} and {Xin Zhao} and {Shubin Liu} and {Yi Shen 007} and {Zhangming Zhu}}
}
@article{journals/mj/LiZLLDLZ22,
title = {Radio frequency analog-to-digital converters: Systems and circuits review.},
year = {2022},
journal = {Microelectron. J.},
author = {{Dengquan Li} and {Xin Zhao} and {Shubin Liu} and {Maliang Liu} and {Ruixue Ding} and {Yuhua Liang} and {Zhangming Zhu}}
}
@article{journals/mj/ZhongSCZL22,
title = {Capacitance-to-voltage converter employing parallel-series passive charge integrator for low noise power efficient MEMS capacitive sensor.},
year = {2022},
journal = {Microelectron. J.},
author = {{Longjie Zhong} and {Pengpeng Shang} and {Wenfei Cao} and {Zhangming Zhu} and {Shubin Liu}}
}
@article{journals/tbcas/LiangLLZ22,
title = {A 14-b 20-MS/s 78.8 dB-SNDR Energy-Efficient SAR ADC With Background Mismatch Calibration and Noise-Reduction Techniques for Portable Medical Ultrasound Systems.},
year = {2022},
journal = {IEEE Trans. Biomed. Circuits Syst.},
author = {{Yuhua Liang} and {Changying Li} and {Shubin Liu} and {Zhangming Zhu}}
}
@article{journals/tcasI/HuLMLZ22,
title = {A 32 × 32-Pixel Flash LiDAR Sensor With Noise Filtering for High-Background Noise Applications.},
year = {2022},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Jin Hu 006} and {Bingzheng Liu} and {Rui Ma 007} and {Maliang Liu} and {Zhangming Zhu}}
}
@article{journals/tcasI/HuWLLMZ22,
title = {A 50-ps Gated VCRO-Based TDC With Compact Phase Interpolators for Flash LiDAR.},
year = {2022},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Jin Hu 006} and {Xiayu Wang} and {Dong Li} and {Yang Liu 106} and {Rui Ma 007} and {Zhangming Zhu}}
}
@article{journals/tcasI/LiuLHLMCMZ22,
title = {A 16-Channel Analog CMOS SiPM With On-Chip Front-End for D-ToF LiDAR.},
year = {2022},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Maliang Liu} and {Bingzheng Liu} and {Jin Hu 006} and {Dong Li} and {Jiaji Ma} and {Zekun Chu} and {Rui Ma 007} and {Zhangming Zhu}}
}
@article{journals/tcasI/ShenTXLZS22,
title = {A 10-bit 100-MS/s SAR ADC With Always-On Reference Ripple Cancellation.},
year = {2022},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Yi Shen 007} and {Xiyuan Tang} and {Xin Xin} and {Shubin Liu} and {Zhangming Zhu} and {Nan Sun 001}}
}
@article{journals/tcasI/ZhangMWZZ22,
title = {A High Linearity TDC With a United-Reference Fractional Counter for LiDAR.},
year = {2022},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Wei Zhang} and {Rui Ma 007} and {Xiayu Wang} and {Hao Zheng} and {Zhangming Zhu}}
}
@article{journals/tcasI/ZhengMWLHLZ22,
title = {A Linear-Array Receiver AFE Circuit Embedded 8-to-1 Multiplexer for Direct ToF Imaging LiDAR Applications.},
year = {2022},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Hao Zheng} and {Rui Ma 007} and {Xiayu Wang} and {Dong Li} and {Jin Hu 006} and {Yang Liu 106} and {Zhangming Zhu}}
}
@article{journals/tcasI/ZhiDWZY22,
title = {Trade-Off-Oriented Impedance Optimization of Chiplet-Based 2.5-D Integrated Circuits With a Hybrid MDP Algorithm for Noise Elimination.},
year = {2022},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Changle Zhi} and {Gang Dong} and {Yang Wang} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/tcasI/ZhongLXZ22,
title = {Voltage Control Ratiometric Readout Technique With Improved Dynamic Range and Power-Efficiency for Open-Loop MEMS Capacitive Accelerometer.},
year = {2022},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Longjie Zhong} and {Shubin Liu} and {Donglai Xu} and {Zhangming Zhu}}
}
@article{journals/tcasII/FengZZ22,
title = {An Efficient Multilayer Spiking Convolutional Neural Network Processor for Object Recognition With Low Bitwidth and Channel-Level Parallelism.},
year = {2022},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Lichen Feng} and {Yueqi Zhang} and {Zhangming Zhu}}
}
@article{journals/tcasII/LiuMLOXZ22,
title = {A 1.5-Cycle Fast Sampling P-SSHC Piezoelectric Energy Harvesting Interface.},
year = {2022},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Lianxi Liu} and {Jian Ma} and {Xufeng Liao} and {Yuanwen Ou} and {Yuhang Xie} and {Zhangming Zhu}}
}
@article{journals/tcasII/WangEZ22,
title = {An Ultrawideband Multicomponent Differential Magnetic Probe for Near-Field Scanning.},
year = {2022},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Lei Wang 092} and {Yunfei En} and {Zhangming Zhu}}
}
@article{journals/tcasII/ZhangZ22a,
title = {Recent Advances and Trends in Voltage-Time Domain Hybrid ADCs.},
year = {2022},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Yanbo Zhang} and {Zhangming Zhu}}
}
@article{journals/tim/LiMWHZ22,
title = {Optimization of System Design and Calibration Algorithm for SPAD-Based LiDAR Imager.},
year = {2022},
journal = {IEEE Trans. Instrum. Meas.},
author = {{Dong Li} and {Rui Ma 007} and {Xiayu Wang} and {Jin Hu 006} and {Zhangming Zhu}}
}
@article{journals/tvlsi/LiZWSZ22,
title = {A Fast Convergence Second-Order Compensation for Timing Skew in Time-Interleaved ADCs.},
year = {2022},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Dengquan Li} and {Lei Zhao} and {Longsheng Wang} and {Yi Shen 007} and {Zhangming Zhu}}
}
@article{journals/tvlsi/XiongDWZY22,
title = {3-D Compact Marchand Balun Design Based on Through-Silicon via Technology for Monolithic and 3-D Integration.},
year = {2022},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Wei Xiong} and {Gang Dong} and {Yang Wang} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/tbcas/FengSZZ22,
title = {An Efficient Model-Compressed EEGNet Accelerator for Generalized Brain-Computer Interfaces With Near Sensor Intelligence.},
year = {2022},
month = {December},
journal = {IEEE Trans. Biomed. Circuits Syst.},
author = {{Lichen Feng} and {Hongwei Shan} and {Yueqi Zhang} and {Zhangming Zhu}}
}
@inproceedings{conf/aicas/ShanFZZ23,
title = {An Interpretable Pixel Intensity Reconstruction Model for Asynchronous Event Camera.},
year = {2023},
booktitle = {AICAS},
author = {{Hongwei Shan} and {Lichen Feng} and {Yueqi Zhang} and {Zhangming Zhu}},
publisher = {IEEE},
booktitle = {5th IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2023, Hangzhou, China, June 11-13, 2023}
}
@inproceedings{conf/asicon/FuLTZZ23,
title = {A 77.8dB-SNDR 25MHz-BW 2nd-order NS Pipelined SAR ADC with 4th-order Gain-Error-Shaping.},
year = {2023},
booktitle = {ASICON},
author = {{Guolong Fu} and {Shubin Liu} and {Li Tian} and {Zhangming Zhu} and {Yanbo Zhang}},
publisher = {IEEE},
booktitle = {15th IEEE International Conference on ASIC, ASICON 2023, Nanjing, China, October 24-27, 2023}
}
@inproceedings{conf/asscc/LiangSCLDZ23,
title = {A 5GS/s 38.04dB SNDR Single-Channel TDC-Assisted Hybrid ADC with \$\\lambda/4\$ Transmission Line Based Time Quantizer Achieving a PVT Robustness 416.6fs Time Step.},
year = {2023},
booktitle = {A-SSCC},
author = {{Hongzhi Liang} and {Yi Shen 007} and {Jun Chang} and {Shubin Liu} and {Ruixue Ding} and {Zhangming Zhu}},
publisher = {IEEE},
booktitle = {IEEE Asian Solid-State Circuits Conference, A-SSCC 2023, Haikou, China, November 5-8, 2023}
}
@inproceedings{conf/asscc/ShenLWZSDZ23,
title = {A \$142.8-\\mu \\text\{W\}\$ 98.1dB-SNDR Power/Bandwidth Configurable Fully Dynamic Discrete-Time Zoom ADC with Interstage Leakage Shaping.},
year = {2023},
booktitle = {A-SSCC},
author = {{Yuke Shen} and {Shubin Liu} and {Kui Wen} and {Yanbo Zhang} and {Yi Shen 007} and {Ruixue Ding} and {Zhangming Zhu}},
publisher = {IEEE},
booktitle = {IEEE Asian Solid-State Circuits Conference, A-SSCC 2023, Haikou, China, November 5-8, 2023}
}
@inproceedings{conf/asscc/ZhangZTLZ23,
title = {An 83.6dB-SNDR 101.6dB-SFDR 4th-Order Noise-Shaping SAR with 2nd-Order Nonlinearity Error Shaping.},
year = {2023},
booktitle = {A-SSCC},
author = {{Yanbo Zhang} and {Xianghui Zhang} and {Li Tian} and {Shubin Liu} and {Zhangming Zhu}},
publisher = {IEEE},
booktitle = {IEEE Asian Solid-State Circuits Conference, A-SSCC 2023, Haikou, China, November 5-8, 2023}
}
@inproceedings{conf/cicc/DongLZHLHWHZ23,
title = {A 0.012mm2 36.41kHz Temperature-insensitive Current-Reuse Ring Oscillator Achieving 0.077\%/V Line Sensitivity across a 1.3V-to-3.7V unregulated Supply.},
year = {2023},
booktitle = {CICC},
author = {{Zhicheng Dong} and {Shubin Liu} and {Xiaoteng Zhao} and {Baotian Hao} and {Hongzhi Liang} and {Haolin Han} and {Menghao Wang} and {Weijie Han} and {Zhangming Zhu}},
publisher = {IEEE},
booktitle = {IEEE Custom Integrated Circuits Conference, CICC 2023, San Antonio, TX, USA, April 23-26, 2023}
}
@inproceedings{conf/cicc/ShenLCHLDLDZ23,
title = {A 12b 1.5GS/s Single-Channel Pipelined SAR ADC with a Pipelined Residue Amplification Stage.},
year = {2023},
booktitle = {CICC},
author = {{Yi Shen 007} and {Shubin Liu} and {Yue Cao} and {Haolin Han} and {Hongzhi Liang} and {Zhicheng Dong} and {Dengquan Li} and {Ruixue Ding} and {Zhangming Zhu}},
publisher = {IEEE},
booktitle = {IEEE Custom Integrated Circuits Conference, CICC 2023, San Antonio, TX, USA, April 23-26, 2023}
}
@inproceedings{conf/isscc/HaoZZLZZCM23,
title = {A Single-Channel 2.6GS/s 10b Dynamic Pipelined ADC with Time-Assisted Residue Generation Scheme Achieving Intrinsic PVT Robustness.},
year = {2023},
booktitle = {ISSCC},
author = {{Junyan Hao} and {Minglei Zhang} and {Yanbo Zhang} and {Shubin Liu} and {Zhangming Zhu} and {Yan Zhu 001} and {Chi-Hang Chan} and {Rui Paulo Martins}},
publisher = {IEEE},
booktitle = {IEEE International Solid- State Circuits Conference, ISSCC 2023, San Francisco, CA, USA, February 19-23, 2023}
}
@inproceedings{conf/isscc/ZhangHLZZCM23,
title = {A Single-Channel 70dB-SNDR 100MHz-BW 4th-Order Noise-Shaping Pipeline SAR ADC with Residue Amplifier Error Shaping.},
year = {2023},
booktitle = {ISSCC},
author = {{Yanbo Zhang} and {Junyan Hao} and {Shubin Liu} and {Zhangming Zhu} and {Yan Zhu 001} and {Chi-Hang Chan} and {Rui Paulo Martins}},
publisher = {IEEE},
booktitle = {IEEE International Solid- State Circuits Conference, ISSCC 2023, San Francisco, CA, USA, February 19-23, 2023}
}
@article{journals/jssc/ZhongLSCZ23,
title = {A 100- to- 10-kHz 5.4- to- 216- μW Power-Efficient Readout Circuit Employing Closed-Loop Dynamic Amplifier for MEMS Capacitive Accelerometer.},
year = {2023},
journal = {IEEE J. Solid State Circuits},
author = {{Longjie Zhong} and {Shubin Liu} and {Pengpeng Shang} and {Wenfei Cao} and {Zhangming Zhu}}
}
@article{journals/mj/FengSFZ0Z23,
title = {Towards neuromorphic brain-computer interfaces: Model and circuit Co-design of the spiking EEGNet.},
year = {2023},
journal = {Microelectron. J.},
author = {{Lichen Feng} and {Hongwei Shan} and {Zhouhua Fan} and {Yueqi Zhang} and {Liying Yang 001} and {Zhangming Zhu}}
}
@article{journals/tcasI/ShenLHLLDZ23,
title = {An Energy-Efficient SAR ADC With a Coarse-Fine Bypass Window Technique.},
year = {2023},
month = {January},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Yi Shen 007} and {Jian Liu} and {Chenxi Han} and {Angyang Li} and {Shubin Liu} and {Ruixue Ding} and {Zhangming Zhu}}
}
@article{journals/tcasI/WangLHLMZ23,
title = {An Analog SiPM Based Receiver With On-Chip Wideband Amplifier Module for Direct ToF LiDAR Applications.},
year = {2023},
month = {January},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Xiayu Wang} and {Yang Liu 106} and {Jin Hu 006} and {Dong Li} and {Rui Ma 007} and {Zhangming Zhu}}
}
@article{journals/jssc/WangXZSXYCQL23,
title = {Configurable Hybrid Energy Synchronous Extraction Interface With Serial Stack Resonance for Multi-Source Energy Harvesting.},
year = {2023},
month = {February},
journal = {IEEE J. Solid State Circuits},
author = {{Xiudeng Wang} and {Yinshui Xia} and {Zhangming Zhu} and {Ge Shi 001} and {Huakang Xia} and {Yidie Ye} and {Zhidong Chen} and {Libo Qian} and {Lianxi Liu}}
}
@article{journals/tcasII/HuLWMLZ23,
title = {A 40-ps Resolution Robust Continuous Running VCRO-Based TDC for LiDAR Applications.},
year = {2023},
month = {February},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Jin Hu 006} and {Dong Li} and {Xiayu Wang} and {Rui Ma 007} and {Yang Liu 106} and {Zhangming Zhu}}
}
@article{journals/tcasII/LiHMWLZ23,
title = {SPAD-Based LiDAR With Real-Time Accuracy Calibration and Laser Power Regulation.},
year = {2023},
month = {February},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Dong Li} and {Jin Hu 006} and {Rui Ma 007} and {Xiayu Wang} and {Yang Liu 106} and {Zhangming Zhu}}
}
@article{journals/tcasII/LiuLZLZ23,
title = {Substrate-Integrated Waveguide Band-Pass Filter and Diplexer With Controllable Transmission Zeros and Wide-Stopband.},
year = {2023},
month = {February},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Xiaoxian Liu} and {Yang Liu 106} and {Tao Zhang 086} and {Qijun Lu} and {Zhangming Zhu}}
}
@article{journals/tcasII/MaWMHLLZ23,
title = {A Mixed Calibration Method of Time-to-Digital Converter for LiDAR Applications.},
year = {2023},
month = {February},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Jiaji Ma} and {Xiayu Wang} and {Rui Ma 007} and {Jin Hu 006} and {Dong Li} and {Yang Liu 106} and {Zhangming Zhu}}
}
@article{journals/tcasII/WangLEZ23,
title = {A Composite Probe Capable of Simultaneously Measuring Two Orthogonal Magnetic-Fields.},
year = {2023},
month = {February},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Lei Wang 092} and {Xiaoxian Liu} and {Yunfei En} and {Zhangming Zhu}}
}
@article{journals/tcasII/WangMLHZ23,
title = {A Wide Dynamic Range Analog Front-End With Reconfigurable Transimpedance Amplifier for Direct ToF LiDAR.},
year = {2023},
month = {March},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Xiayu Wang} and {Rui Ma 007} and {Dong Li} and {Jin Hu 006} and {Zhangming Zhu}}
}
@article{journals/mj/LiuFWHMZ23,
title = {Advances in silicon-based in-sensor computing for neuromorphic vision sensors.},
year = {2023},
month = {April},
journal = {Microelectron. J.},
author = {{Yang Liu 106} and {Ruiqi Fan} and {Xiayu Wang} and {Jin Hu 006} and {Rui Ma 007} and {Zhangming Zhu}}
}
@article{journals/tcasI/WangXSZXYCQL23,
title = {A Clockless Synergistic Hybrid Energy Harvesting Technique With Simultaneous Energy Injection and Sampling for Piezoelectric and Photovoltaic Energy.},
year = {2023},
month = {April},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Xiudeng Wang} and {Yinshui Xia} and {Ge Shi 001} and {Zhangming Zhu} and {Huakang Xia} and {Yidie Ye} and {Zhidong Chen} and {Libo Qian} and {Lianxi Liu}}
}
@article{journals/tcasI/ZhongLXZ23,
title = {A 63 μg/√Hz Noise Floor and 14 pJ Power Efficiency Open-Loop MEMS Capacitive Accelerometer Using Closed-Loop Hybrid Dynamic Amplifier.},
year = {2023},
month = {April},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Longjie Zhong} and {Shubin Liu} and {Donglai Xu} and {Zhangming Zhu}}
}
@article{journals/jzusc/YaoDZY23,
title = {Stacked arrangement of substrate integrated waveguide cavity-backed semicircle patches for wideband circular polarization with filtering effect.},
year = {2023},
month = {May},
journal = {Frontiers Inf. Technol. Electron. Eng.},
author = {{Yitong Yao} and {Gang Dong} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/tcasI/LiangRCLSSZ23,
title = {A Reconfigurable 12-to-18-Bit Dynamic Zoom ADC With Pole-Optimized Technique.},
year = {2023},
month = {May},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Yuhua Liang} and {Jinyu Ren} and {Li Chen} and {Haotian Lan} and {Jiajun Song} and {Shida Song} and {Zhangming Zhu}}
}
@article{journals/tcasII/HanLZFLZ23,
title = {An All-Digital Background Calibration Technique for M-Channel Downsampling Time-Interleaved ADCs Based on Interpolation.},
year = {2023},
month = {June},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Chenxi Han} and {Shubin Liu} and {Yuhao Zhang} and {Lichen Feng} and {Hongzhi Liang} and {Zhangming Zhu}}
}
@article{journals/tcasII/LiYHGQZWZ23,
title = {An Elimination Scheme of Long and Short Periods for Flyback Converter.},
year = {2023},
month = {June},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Yongyuan Li} and {Yong You} and {Yueyun Han} and {Wei Guo} and {Libo Qian} and {Guangqian Zhu} and {Qiang Wu} and {Zhangming Zhu}}
}
@article{journals/tvlsi/YuLLCSSZ23,
title = {A Time-Domain Reconfigurable Second-Order Noise Shaping ADC With Single Fan-Out Gated Delay Cells.},
year = {2023},
month = {June},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Zhe Yu} and {Yuhua Liang} and {Haotian Lan} and {Li Chen} and {Jiajun Song} and {Shida Song} and {Zhangming Zhu}}
}
@article{journals/ral/WangQPWZ23,
title = {Robust Point Cloud Registration Using Geometric Spatial Refinement.},
year = {2023},
month = {July},
journal = {IEEE Robotics Autom. Lett.},
author = {{Zhichao Wang} and {Zhongdong Qi} and {Qi Peng} and {Zhijing Wu 005} and {Zhangming Zhu}}
}
@article{journals/tie/LiWLZ23,
title = {An Adaptive Constant Voltage Control Scheme for Primary-Side Controlled Flyback Converter.},
year = {2023},
month = {July},
journal = {IEEE Trans. Ind. Electron.},
author = {{Yongyuan Li} and {Qiang Wu} and {Lianxi Liu} and {Zhangming Zhu}}
}
@article{journals/bspc/ShanFZYZ23,
title = {Compact seizure detection based on spiking neural network and support vector machine for efficient neuromorphic implementation.},
year = {2023},
month = {September},
journal = {Biomed. Signal Process. Control.},
author = {{Hongwei Shan} and {Lichen Feng} and {Yueqi Zhang} and {Liying Yang 001} and {Zhangming Zhu}}
}
@article{journals/mj/HaoSLWZQLZ23,
title = {An offset and gain error calibration method in high-precision SAR ADCs.},
year = {2023},
month = {September},
journal = {Microelectron. J.},
author = {{Junyan Hao} and {Yi Shen 007} and {Angyang Li} and {Min Wang} and {Qing Zou} and {Zheng Qiu} and {Shubin Liu} and {Zhangming Zhu}}
}
@article{journals/tcasI/LiZSLZ23,
title = {A 7-bit 3.8-GS/s 2-Way Time-Interleaved 4-bit/Cycle SAR ADC 16× Time-Domain Interpolation in 28-nm CMOS.},
year = {2023},
month = {September},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Dengquan Li} and {Xin Zhao} and {Yi Shen 007} and {Shubin Liu} and {Zhangming Zhu}}
}
@article{journals/tcasI/WangLZZZ23,
title = {A 44-μW, 91.3-dB SNDR DT Δ Σ Modulator With Second-Order Noise-Shaping SAR Quantizer.},
year = {2023},
month = {September},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Ling Wang} and {Shubin Liu} and {Yanbo Zhang} and {Longjie Zhong} and {Zhangming Zhu}}
}
@article{journals/tcasII/LiWGYQZ23,
title = {An Improved Constant Current Control Scheme for Multimode PSR Flyback Converter.},
year = {2023},
month = {September},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Yongyuan Li} and {Qiang Wu} and {Wei Guo} and {Yong You} and {Libo Qian} and {Zhangming Zhu}}
}
@article{journals/tcasI/WuWLLDLZ23,
title = {A High Precision CV Control Scheme for Low Power AC-DC BUCK Converter Controller.},
year = {2023},
month = {October},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Qiang Wu} and {Linjun Wu} and {Yongyuan Li} and {Xun Li} and {Zhixiong Di} and {Shubin Liu} and {Zhangming Zhu}}
}
@article{journals/tvlsi/ShenHLALDZ23,
title = {An 8-bit 1.5-GS/s Two-Step SAR ADC With Embedded Interstage Gain.},
year = {2023},
month = {November},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Yi Shen 007} and {Junyan Hao} and {Shubin Liu} and {Zeshuai An} and {Dengquan Li} and {Ruixue Ding} and {Zhangming Zhu}}
}
@article{journals/tvlsi/XiongDZWZY23,
title = {Miniaturization Strategy for Directional Couplers Based on Through-Silicon Via Insertion and Neuro-Transfer Function Modeling Method.},
year = {2023},
month = {November},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Wei Xiong} and {Gang Dong} and {Changle Zhi} and {Yang Wang} and {Zhangming Zhu} and {Yintang Yang}}
}
@article{journals/tcasII/WangLLZ23,
title = {A Resonant Magnetic Probe Capable of Simultaneously Measuring Two Orthogonal Magnetic-Field Components.},
year = {2023},
month = {December},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Lei Wang 092} and {Xiaoxian Liu} and {Chengyang Luo} and {Zhangming Zhu}}
}
@article{journals/tvlsi/ZhaoLWSLDZ23,
title = {An 8-bit 1.5-GS/s Voltage-Time Hybrid Two-Step ADC With Cross-Coupled Linearized VTC.},
year = {2023},
month = {December},
journal = {IEEE Trans. Very Large Scale Integr. Syst.},
author = {{Xin Zhao} and {Dengquan Li} and {Feida Wang} and {Yi Shen 007} and {Shubin Liu} and {Ruixue Ding} and {Zhangming Zhu}}
}
@inproceedings{conf/isscc/XuBZHZGJLZY24,
title = {5.1 A 5-to-16GHz Reconfigurable Quadrature Receiver with 50\% Duty-Cycle LO and IQ-Leakage Suppression.},
year = {2024},
booktitle = {ISSCC},
author = {{Hao Xu 005} and {Junyan Bi} and {Tenghao Zou} and {Weitao He} and {Yaxin Zeng} and {Junjie Gu} and {Ziyang Jiao} and {Shubin Liu} and {Zhangming Zhu} and {Na Yan}},
publisher = {IEEE},
booktitle = {IEEE International Solid-State Circuits Conference, ISSCC 2024, San Francisco, CA, USA, February 18-22, 2024}
}
@article{journals/tim/LiWSLZ24,
title = {A Background Timing Skew Calibration for Time-Interleaved ADCs Based on Frequency Fitness Genetic Algorithm.},
year = {2024},
journal = {IEEE Trans. Instrum. Meas.},
author = {{Dengquan Li} and {Longsheng Wang} and {Yi Shen 007} and {Shubin Liu} and {Zhangming Zhu}}
}
@article{journals/tim/MaHLWLMZ24,
title = {A 64 × 64 Pixel Image Sensor With Gain-Configurable Photodiodes and Combined Subrange Method.},
year = {2024},
journal = {IEEE Trans. Instrum. Meas.},
author = {{Jiaji Ma} and {Jin Hu 006} and {Yang Liu 106} and {Xiayu Wang} and {Dong Li} and {Rui Ma 007} and {Zhangming Zhu}}
}
@article{journals/ijon/ZhangFSYZ24,
title = {An AER-based spiking convolution neural network system for image classification with low latency and high energy efficiency.},
year = {2024},
month = {January},
journal = {Neurocomputing},
author = {{Yueqi Zhang} and {Lichen Feng} and {Hongwei Shan} and {Liying Yang 001} and {Zhangming Zhu}}
}
@article{journals/tcasII/HaoSZZLZ24,
title = {A 14b 180MS/s Pipeline-SAR ADC With Adaptive-Region-Selection Technique and Gain Error Calibration.},
year = {2024},
month = {January},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Junyan Hao} and {Yi Shen 007} and {Jin Zhang} and {Yanbo Zhang} and {Shubin Liu} and {Zhangming Zhu}}
}
@article{journals/tcasII/LiangLDSLZ24,
title = {A 4-GS/s 6-Bit Single-Channel TDC-Assisted Hybrid ADC Featuring Power Supply Variation Adaptation for Inter-Stage Gain Error.},
year = {2024},
month = {January},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Hongzhi Liang} and {Shubin Liu} and {Ruixue Ding} and {Yi Shen 007} and {Dengquan Li} and {Zhangming Zhu}}
}
@article{journals/tcasII/ZhongXDLZ24,
title = {High Power-Efficiency Readout Circuit for MEMS Capacitive Accelerometer.},
year = {2024},
month = {January},
journal = {IEEE Trans. Circuits Syst. II Express Briefs},
author = {{Longjie Zhong} and {Leyang Xue} and {Xiangyi Deng} and {Shubin Liu} and {Zhangming Zhu}}
}
@article{journals/mj/DingHZFWLZ24,
title = {A 0.55-mm2 8-bit 32-GS/s TI-SAR ADC with optimized hierarchical sampling architecture.},
year = {2024},
month = {February},
journal = {Microelectron. J.},
author = {{Jiale Ding} and {Yukai Huang} and {Hao Zhang} and {Tian Feng} and {Feida Wang} and {Dengquan Li} and {Zhangming Zhu}}
}
@article{journals/tcasI/WenLZSZ24,
title = {A -64.3 dB THD, 26 nV/√ Hz Bio-Potential Readout Analog-Front-End Amplifier With a Gm-C Integrator-Implanted DC Servo Loop, and a Bulk-Driven Ripple Reduction Loop.},
year = {2024},
month = {February},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Kui Wen} and {Shubin Liu} and {Longjie Zhong} and {Yuke Shen} and {Zhangming Zhu}}
}
@article{journals/tcasI/DangLDSZ24,
title = {A High Accuracy and Bandwidth Digital Background Calibration Technique for Timing Skew in TI-ADCs.},
year = {2024},
month = {March},
journal = {IEEE Trans. Circuits Syst. I Regul. Pap.},
author = {{Li Dang} and {Shubin Liu} and {Ruixue Ding} and {Yi Shen 007} and {Zhangming Zhu}}
}