Zhe Li

Orcid: 0000-0001-7056-4133

Affiliations:
  • Syracuse University, College of Engineering and Computer Science, NY, USA


According to our database1, Zhe Li authored at least 32 papers between 2014 and 2020.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2020
Database and Benchmark for Early-stage Malicious Activity Detection in 3D Printing.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
HEIF: Highly Efficient Stochastic Computing-Based Inference Framework for Deep Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Normalization and dropout for stochastic computing-based deep convolutional neural networks.
Integr., 2019

CircConv: A Structured Convolution with Low Complexity.
CoRR, 2019

Fast and Accurate Trajectory Tracking for Unmanned Aerial Vehicles based on Deep Reinforcement Learning.
Proceedings of the 25th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2019

Efficient Cloud Resource Management using Neuromorphic Modeling and Prediction for Virtual Machine Resource Utilization.
Proceedings of the 15th IEEE International Conference on Embedded Software and Systems, 2019

E-RNN: Design Optimization for Efficient Recurrent Neural Networks in FPGAs.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

3D Point Cloud Attribute Compression Based on Cylindrical Projection.
Proceedings of the 2019 IEEE International Symposium on Broadband Multimedia Systems and Broadcasting, 2019

Thoracic Disease Identification and Localization with Limited Supervision.
Proceedings of the Deep Learning and Convolutional Neural Networks for Medical Imaging and Clinical Informatics, 2019

2018
Towards Budget-Driven Hardware Optimization for Deep Convolutional Neural Networks Using Stochastic Computing.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

An area and energy efficient design of domain-wall memory-based deep convolutional neural networks using stochastic computing.
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018

Learning Topics Using Semantic Locality.
Proceedings of the 24th International Conference on Pattern Recognition, 2018

Efficient Recurrent Neural Networks using Structured Matrices in FPGAs.
Proceedings of the 6th International Conference on Learning Representations, 2018

C-LSTM: Enabling Efficient LSTM using Structured Compression Techniques on FPGAs.
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

Thoracic Disease Identification and Localization With Limited Supervision.
Proceedings of the 2018 IEEE Conference on Computer Vision and Pattern Recognition, 2018

Towards Ultra-High Performance and Energy Efficiency of Deep Learning Systems: An Algorithm-Hardware Co-Optimization Framework.
Proceedings of the Thirty-Second AAAI Conference on Artificial Intelligence, 2018

2017
CirCNN: Accelerating and Compressing Deep Neural Networks Using Block-CirculantWeight Matrices.
CoRR, 2017

CirCNN: accelerating and compressing deep neural networks using block-circulant weight matrices.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017

Hardware-driven nonlinear activation for stochastic computing based deep convolutional neural networks.
Proceedings of the 2017 International Joint Conference on Neural Networks, 2017

Theoretical Properties for Neural Networks with Weight Matrices of Low Displacement Rank.
Proceedings of the 34th International Conference on Machine Learning, 2017

A Hierarchical Framework of Cloud Resource Allocation and Power Management Using Deep Reinforcement Learning.
Proceedings of the 37th IEEE International Conference on Distributed Computing Systems, 2017

Energy-efficient, high-performance, highly-compressed deep neural network design using block-circulant matrices.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Softmax Regression Design for Stochastic Computing Based Deep Convolutional Neural Networks.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Structural design optimization for deep convolutional neural networks using stochastic computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

SC-DCNN: Highly-Scalable Deep Convolutional Neural Network using Stochastic Computing.
Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, 2017

Towards acceleration of deep convolutional neural networks using stochastic computing.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
A Neuromorphic Architecture for Context Aware Text Image Recognition.
J. Signal Process. Syst., 2016

Assisting fuzzy offline handwriting recognition using recurrent belief propagation.
Proceedings of the 2016 IEEE Symposium Series on Computational Intelligence, 2016

Designing reconfigurable large-scale deep learning systems using stochastic computing.
Proceedings of the IEEE International Conference on Rebooting Computing, 2016

DSCNN: Hardware-oriented optimization for Stochastic Computing based Deep Convolutional Neural Networks.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

Towards parallel implementation of associative inference for cogent confabulation.
Proceedings of the 2016 IEEE High Performance Extreme Computing Conference, 2016

2014
Neuromorphic acceleration for context aware text image recognition.
Proceedings of the 2014 IEEE Workshop on Signal Processing Systems, 2014


  Loading...