Jin Sha

Orcid: 0000-0002-0266-3583

According to our database1, Jin Sha authored at least 75 papers between 2006 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Principle, Design, and Analysis of a Novel Discrete Pulse Control for Single-Phase Voltage Source Inverter.
IEEE Trans. Ind. Electron., June, 2024

RF Fingerprinting Identification in Low SNR Scenarios for Automatic Identification System.
IEEE Trans. Wirel. Commun., March, 2024

2023
1+1 <2: Efficient Automatic Standard Cell Sharing Between Digital VLSI Designs for Area Saving.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

A Discrete Average Current Mode Control CCM Boost PFC Converter With Hybrid Pulse Train Modulation and Dual Edge Modulation.
IEEE Trans. Ind. Electron., October, 2023

The Use of SNN for Ultralow-Power RF Fingerprinting Identification With Attention Mechanisms in VDES-SAT.
IEEE Internet Things J., September, 2023

An Improved Critical Set for List Decoding of Polar Codes.
IEEE Commun. Lett., September, 2023

Discrete Extended-Phase-Shift Control for Dual-Active-Bridge DC-DC Converter With Fast Dynamic Response.
IEEE Trans. Ind. Electron., June, 2023

An Adaptive Chase-Pyndiah Algorithm for Turbo Product Codes.
IEEE Commun. Lett., April, 2023

RF Fingerprinting Identification Based on Spiking Neural Network for LEO-MIMO Systems.
IEEE Wirel. Commun. Lett., 2023

2022
Embedded Bidirectional Buck-Boost Converter in Half Bridge Class-D Audio Amplifier for Suppressing Bus Voltage Pumping.
IEEE Trans. Ind. Electron., 2022

2021
Efficient HLS Implementation of Fast Linear Discriminant Analysis Classifier.
IEEE Embed. Syst. Lett., 2021

2020
Pulse Train Control Strategy for CCM Boost PFC Converter With Improved Dynamic Response and Unity Power Factor.
IEEE Trans. Ind. Electron., 2020

2019
A Digital Pulse Train Controlled High Power Factor DCM Boost PFC Converter Over a Universal Input Voltage Range.
IEEE Trans. Ind. Electron., 2019

Evaluation and Suppression of a Low-Frequency Output Voltage Ripple of a Single-Stage AC-DC Converter Based on an Output Impedance Model.
IEEE Trans. Ind. Electron., 2019

Efficient Channel Estimator With Angle-Division Multiple Access.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Joint Detection and Decoding of Polar-Coded OFDM-IDMA Systems.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Satellite Observations of Wind Wake and Associated Oceanic Thermal Responses: A Case Study of Hainan Island Wind Wake.
Remote. Sens., 2019

FPGA-based low-complexity high-throughput real-time hardware accelerator for robust watermarking.
J. Real Time Image Process., 2019

Prune Deep Neural Networks With the Modified L<sub>1/2</sub> Penalty.
IEEE Access, 2019

Symbol-Based Algorithms for Decoding Binary LDPC Codes with Higher-Order Modulations.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

2018
A Stage-Combined Belief Propagation Decoder for Polar Codes.
J. Signal Process. Syst., 2018

Dispersed Array LDPC Codes and Decoder Architecture for NAND Flash Memory.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

Multi-column parallel QC-LDPC decoder architecture for NAND flash memory.
IEICE Electron. Express, 2018

Improved gradient descent bit flipping decoder for LDPC codes on BSC channel.
IEICE Electron. Express, 2018

A low complexity LDPC-BCH concatenated decoder for NAND flash memory.
IEICE Electron. Express, 2018

4.7-Gb/s LDPC Decoder on GPU.
IEEE Commun. Lett., 2018

Polar-Coded Forward Error Correction for MLC NAND Flash Memory Polar FEC for NAND Flash Memory.
CoRR, 2018

Polar-coded forward error correction for MLC NAND flash memory.
Sci. China Inf. Sci., 2018

2017
High-Speed Parallel LFSR Architectures Based on Improved State-Space Transformations.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Optimized sorting network for successive cancellation list decoding of polar codes.
IEICE Electron. Express, 2017

Frozen bits selection for polar codes based on simulation and BP decoding.
IEICE Electron. Express, 2017

An access pattern based adaptive mapping function for GPGPU scratchpad memory.
IEICE Electron. Express, 2017

An efficient implementation of 2D convolution in CNN.
IEICE Electron. Express, 2017

Automatic classification of leukocytes using deep neural network.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

The VLSI architecture for channel estimation based on ADMA.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

Joint detection and decoding for polar-coded OFDM-IDMA systems.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

Joint detection and decoding for non-binary LDPC coded MIMO systems.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
A Peak-Capacitor-Current Pulse-Train-Controlled Buck Converter With Fast Transient Response and a Wide Load Range.
IEEE Trans. Ind. Electron., 2016

Influences of an Aluminum Covering Layer on the Performance of Cross-Like Hall Devices.
Sensors, 2016

An Efficient FPGA Implementation for 2-D MUSIC Algorithm.
Circuits Syst. Signal Process., 2016

Beyond 100Gbps Encoder Design for Staircase Codes.
Proceedings of the 2016 IEEE International Workshop on Signal Processing Systems, 2016

Stage-combined belief propagation decoding of polar codes.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

A high throughput belief propagation decoder architecture for polar codes.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

2015
Control Pulse Combination-Based Analysis of Pulse Train Controlled DCM Switching DC-DC Converters.
IEEE Trans. Ind. Electron., 2015

Performance Comparison of Cross-Like Hall Plates with Different Covering Layers.
Sensors, 2015

Tail-biting code: A modification to staircase code for high-speed networking.
Proceedings of the 2015 IEEE Workshop on Signal Processing Systems, 2015

A stage-reduced low-latency successive cancellation decoder for polar codes.
Proceedings of the 2015 IEEE International Conference on Digital Signal Processing, 2015

2014
Variable-On-Time-Controlled Critical-Conduction-Mode Flyback PFC Converter.
IEEE Trans. Ind. Electron., 2014

Effects of Circuit Parameters on Dynamics of Current-Mode-Pulse-Train-Controlled Buck Converter.
IEEE Trans. Ind. Electron., 2014

A more accurate circuit model for CMOS Hall cross with non-linear resistors and JFETs.
Proceedings of the 2014 International Symposium on Integrated Circuits (ISIC), 2014

Efficient symbol reliability based decoding for QCNB-LDPC codes.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

Hardware architecture for list successive cancellation polar decoder.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

2013
Memory efficient EMS decoding for non-binary LDPC codes.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

2012
Unified Architecture for Reed-Solomon Decoder Combined With Burst-Error Correction.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Nonbinary LDPC Code Decoder Architecture With Efficient Check Node Processing.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

Efficient EMS decoding for non-binary LDPC codes.
Proceedings of the International SoC Design Conference, 2012

Efficient network for non-binary QC-LDPC decoder.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Memory efficient column-layered decoder design for non-binary LDPC codes.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

2011
Efficient Reed-Solomon based LDPC decoders.
Proceedings of the International SoC Design Conference, 2011

Memory efficient decoder design of nonbinary LDPC codes.
Proceedings of the International SoC Design Conference, 2011

2010
Flexible LDPC Decoder Design for Multigigabit-per-Second Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

An Efficient VLSI Architecture for Nonbinary LDPC Decoders.
IEEE Trans. Circuits Syst. II Express Briefs, 2010

Efficient Decoder Design for Nonbinary Quasicyclic LDPC Codes.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

Layered decoding for non-binary LDPC codes.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Low power decoder design for QC-LDPC codes.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

2009
Multi-Gb/s LDPC Code Design and Implementation.
IEEE Trans. Very Large Scale Integr. Syst., 2009

An improved scaled DCT architecture.
IEEE Trans. Consumer Electron., 2009

LDPC decoder design for high rate wireless personal area networks.
IEEE Trans. Consumer Electron., 2009

Area-efficient reed-solomon decoder design for optical communications.
IEEE Trans. Circuits Syst. II Express Briefs, 2009

Decoder Design for RS-Based LDPC Codes.
IEEE Trans. Circuits Syst. II Express Briefs, 2009

Efficient Shuffle Network Architecture and Application for WiMAX LDPC Decoders.
IEEE Trans. Circuits Syst. II Express Briefs, 2009

An improved min-sum based column-layered decoding algorithm for LDPC codes.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2009

Area-efficient Reed-Solomon Decoder Design for 10-100 Gb/s Applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

LDPC Decoder Design for IEEE 802.15 Standard.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

2006
An FPGA Implementation of Array LDPC Decoder.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2006, 2006


  Loading...