Lidan Wang

This page is a disambiguation page, it actually contains mutiple papers from persons of the same or a similar name.

Known people with the same name:

Bibliography

2024
Feature augmentation and scale penalty for tiny floating detection.
Int. J. Mach. Learn. Cybern., March, 2024

2023
SAGAN: Deep semantic-aware generative adversarial network for unsupervised image enhancement.
Knowl. Based Syst., December, 2023

Proportional stochastic generalized Lotka-Volterra model with an application to learning microbial community structures.
Appl. Math. Comput., July, 2023

A 6.435-nW, 26.2-ppm/°C hybrid bandgap reference with stacked ΔVGS compensation in sub-threshold region.
Microelectron. J., 2023

Research on the construction of enterprise human resource allocation model based on multi-objective particle swarm optimisation algorithm.
Int. J. Wirel. Mob. Comput., 2023

2022
The Absolute Phase Retrieval Based on the Rotation of Phase-Shifting Sequence.
IEEE Trans. Instrum. Meas., 2022

A - °C-125 °C 0.4-μA Low-Noise Bandgap Voltage Reference With 0.8-mA Load Driving Capability Using Shared Feedback Resistors.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

A Framework for Automated Text Generation Benchmarking.
Proceedings of the Workshop on Scientific Document Understanding co-located with 36th AAAI Conference on Artificial Inteligence, 2022

2021
GIMICA: host genetic and immune factors shaping human microbiota.
Nucleic Acids Res., 2021

A -40-125 °C, 0.8 V, 33 kHz relaxation oscillator with integrated voltage and current reference and compensated comparator delay.
Microelectron. J., 2021

Inducing Rich Interaction Structures Between Words for Document-Level Event Argument Extraction.
Proceedings of the Advances in Knowledge Discovery and Data Mining, 2021

Open-Domain Question Answering with Pre-Constructed Question Spaces.
Proceedings of the 2021 Conference of the North American Chapter of the Association for Computational Linguistics: Student Research Workshop, 2021

A 0.9-V 22.7-ppm/°C Sub-Bandgap Voltage Reference with Single BJT and Two Resistors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A 127 nA Quiescent Current Capacitorless NMOS LDO with Fast-Transient Response.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

An Ultra-low Power Consumption Dual-Output Subthreshold CMOS Voltage Reference.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

A 1.1-V 26.2-ppm/°C Sub-Bandgap Voltage Reference with 6.5-nW Power Consumption Composed of Subthreshold CMOSs and Single BJT.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

A 5.72-μW, 1.02-MHz, -40~125°C, 1μs-Startup Time Relaxation Oscillation with Fully-on-Chip Voltage Reference and LDO Regulator.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

An improved hierarchical clustering routing algorithm for Wireless Sensor Networks based on the integration of space-air-ground network.
Proceedings of the HP3C 2021: 5th International Conference on High Performance Compilation, Computing and Communications, Guangzhou, China, June 18, 2021

2020
A -40 °C to 120 °C, 169 ppm/°C Nano-Ampere CMOS Current Reference.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

A Nano-Watt Dual-Output Subthreshold CMOS Voltage Reference.
IEEE Open J. Circuits Syst., 2020

A -80 dB PSRR 4.99 ​ppm/°C TC bandgap reference with nonlinear compensation.
Microelectron. J., 2020

Bayesian Optimization for Selecting Efficient Machine Learning Models.
CoRR, 2020

Access Control Mechanism in Wireless Networks.
Proceedings of the HP3C 2020: 4th International Conference on High Performance Compilation, 2020

Learning to Fuse Sentences with Transformers for Summarization.
Proceedings of the 2020 Conference on Empirical Methods in Natural Language Processing, 2020

Understanding Points of Correspondence between Sentences for Abstractive Summarization.
Proceedings of the 58th Annual Meeting of the Association for Computational Linguistics: Student Research Workshop, 2020

2019
A 0.7-V 28-nW CMOS Subthreshold Voltage and Current Reference in One Simple Circuit.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A 1-nW Ultra-Low Voltage Subthreshold CMOS Voltage Reference With 0.0154%/V Line Sensitivity.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

A Community-Enhanced Retrieval Model for Text-Rich Heterogeneous Information Networks.
Proceedings of the 2019 International Conference on Data Mining Workshops, 2019

A Markov Network Model for Natural Language Semantic Matching.
Proceedings of the 2019 International Conference on Data Mining Workshops, 2019

2018
A 0.9-V 33.7-ppm/°C 85-nW Sub-Bandgap Voltage Reference Consisting of Subthreshold MOSFETs and Single BJT.
IEEE Trans. Very Large Scale Integr. Syst., 2018

An Ultralow Power Subthreshold CMOS Voltage Reference Without Requiring Resistors or BJTs.
IEEE Trans. Very Large Scale Integr. Syst., 2018

A 0.4-V Wide Temperature Range All-MOSFET Subthreshold Voltage Reference With 0.027%/V Line Sensitivity.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

A 0.6-V Minimum-Supply, 23.5 ppm/°C Subthreshold CMOS Voltage Reference With 0.45% Variation Coefficient.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

An amplifier-offset-insensitive and high PSRR subthreshold CMOS voltage reference.
Int. J. Circuit Theory Appl., 2018

A 0.5V 46.2ppm°C CMOS Voltage Reference Based on Compensated ΔVTH with Wide Temperature Range and High PSRR.
Proceedings of the 16th IEEE International New Circuits and Systems Conference, 2018

A Low-Power High-PSRR CMOS Voltage Reference with Active-Feedback Frequency Compensation for IoT Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A Diagnosis Algorithm on the 2D-torus Network.
Proceedings of the Innovative Mobile and Internet Services in Ubiquitous Computing, 2018

Fault Diagnosis Algorithm for WSN Based on Clustering and Credibility.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2018

High-Quality Facial Photo-Sketch Synthesis Using Multi-Adversarial Networks.
Proceedings of the 13th IEEE International Conference on Automatic Face & Gesture Recognition, 2018

A Chip-Area-Efficient Subthreshold CMOS Voltage Reference with High PSRR Based on Compensated ΔVGS of NMOS Transistors.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018

2017
Analysis and design of a current-mode bandgap reference with high power supply ripple rejection.
Microelectron. J., 2017

A power and area efficient CMOS voltage reference with second-order curvature compensation.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

Parallel and local diagnostic algorithm for wireless sensor networks.
Proceedings of the 19th Asia-Pacific Network Operations and Management Symposium, 2017

2016
RelSim: Relation Similarity Search in Schema-Rich Heterogeneous Information Networks.
Proceedings of the 2016 SIAM International Conference on Data Mining, 2016

FastHybrid: A Hybrid Model for Efficient Answer Selection.
Proceedings of the COLING 2016, 2016

An ultra-low power and offset-insensitive CMOS subthreshold voltage reference.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016

2015
Exploring community structure of software Call Graph and its applications in class cohesion measurement.
J. Syst. Softw., 2015

Efficient Hyper-parameter Optimization for NLP Applications.
Proceedings of the 2015 Conference on Empirical Methods in Natural Language Processing, 2015

Applying deep learning to answer selection: A study and an open task.
Proceedings of the 2015 IEEE Workshop on Automatic Speech Recognition and Understanding, 2015

2014
Hierarchical extreme learning machine for feedforward neural network.
Neurocomputing, 2014

Learning to efficiently rank on big data.
Proceedings of the 23rd International World Wide Web Conference, 2014

ClusCite: effective citation recommendation by information network-based clustering.
Proceedings of the 20th ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, 2014

A Spiking-based mechanism for self-organizing RBF neural networks.
Proceedings of the 2014 International Joint Conference on Neural Networks, 2014

2013
Efficient self-organizing multilayer neural network for nonlinear system modeling.
Neural Networks, 2013

A 0.5V 0.18µm CMOS LC-VCO with a novel switched varactor technique.
IEICE Electron. Express, 2013

Research-insight: providing insight on research by publication network analysis.
Proceedings of the ACM SIGMOD International Conference on Management of Data, 2013

AMETHYST: a system for mining and exploring topical hierarchies of heterogeneous data.
Proceedings of the 19th ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, 2013

2012
Learning to Efficiently Rank.
PhD thesis, 2012

Robust ranking models via risk-sensitive optimization.
Proceedings of the 35th International ACM SIGIR conference on research and development in Information Retrieval, 2012

2011
A cascade ranking model for efficient ranked retrieval.
Proceedings of the Proceeding of the 34th International ACM SIGIR Conference on Research and Development in Information Retrieval, 2011

2010
UMD and USC/ISI: TREC 2010 Web Track Experiments with Ivory.
Proceedings of The Nineteenth Text REtrieval Conference, 2010

Learning to efficiently rank.
Proceedings of the Proceeding of the 33rd International ACM SIGIR Conference on Research and Development in Information Retrieval, 2010

Constrained Complex-Valued ICA without Permutation Ambiguity Based on Negentropy Maximization.
Proceedings of the Latent Variable Analysis and Signal Separation, 2010

Ranking under temporal constraints.
Proceedings of the 19th ACM Conference on Information and Knowledge Management, 2010

2009
Of Ivory and Smurfs: Loxodontan MapReduce Experiments for Web Search.
Proceedings of The Eighteenth Text REtrieval Conference, 2009

Context-based Message Expansion for Disentanglement of Interleaved Text Conversations.
Proceedings of the Human Language Technologies: Conference of the North American Chapter of the Association of Computational Linguistics, Proceedings, May 31, 2009

Personal name-matching through name transformation.
Proceedings of the 2009 Joint International Conference on Digital Libraries, 2009

Matching person names through name transformation.
Proceedings of the 18th ACM Conference on Information and Knowledge Management, 2009

2008
Query Expansion for Noisy Legal Documents.
Proceedings of The Seventeenth Text REtrieval Conference, 2008

Predictive Modeling-Based Data Collection in Wireless Sensor Networks.
Proceedings of the Wireless Sensor Networks, 5th European Conference, 2008

2006
Photographs Alignment and High Dynamic Range Image Composition Based on Varying Exposure Levels.
Proceedings of the Advances in Artificial Reality and Tele-Existence, 2006


  Loading...