Mark A. Franklin

According to our database1, Mark A. Franklin authored at least 70 papers between 1969 and 2011.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2011
Bloom Filter Performance on Graphics Engines.
Proceedings of the International Conference on Parallel Processing, 2011

2010
Auto-Pipe: Streaming Applications on Architecturally Diverse Systems.
Computer, 2010

Application-guided tool development for architecturally diverse computation.
Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), 2010

2008
A workload for evaluating deep packet inspection architectures.
Proceedings of the 4th International Symposium on Workload Characterization (IISWC 2008), 2008

2007
Biosequence Similarity Search on the <i>Mercury</i> System.
J. VLSI Signal Process., 2007

Application development on hybrid systems.
Proceedings of the ACM/IEEE Conference on High Performance Networking and Computing, 2007

A Federated Simulation Environment for Hybrid Systems.
Proceedings of the 21st International Workshop on Principles of Advanced and Distributed Simulation, 2007

Performance/area efficiency in chip multiprocessors with micro-caches.
Proceedings of the 4th Conference on Computing Frontiers, 2007

2006
Performance Models for Network Processor Design.
IEEE Trans. Parallel Distributed Syst., 2006

Auto-pipe and the X language: a pipeline design tool and description language.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006

Accelerator design for protein sequence HMM search.
Proceedings of the 20th Annual International Conference on Supercomputing, 2006

2005
VLSI Photonic Ring Multicomputer Interconnect: Architecture and Signal Processing Performance.
J. VLSI Signal Process., 2005

2004
SimplePipe: A Simulation Tool for Task Allocation and Design of Processor Pipelines with Application to Network Processors.
Proceedings of the 12th International Workshop on Modeling, 2004

An Architecture for Fast Processing of Large Unstructured Data Sets.
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004

Biosequence Similarity Search on the Mercury System.
Proceedings of the 15th IEEE International Conference on Application-Specific Systems, 2004

2003
Predictive scheduling of network processors.
Comput. Networks, 2003

The <i>Mercury</i> system: exploiting truly fast hardware for data search.
Proceedings of the International Workshop on Storage Network Architecture and Parallel I/Os, 2003

Dynamic Reconfiguration of an Optical Interconnect.
Proceedings of the Proceedings 36th Annual Simulation Symposium (ANSS-36 2003), Orlando, Florida, USA, March 30, 2003

2002
Gemini: An Optical Interconnection Network for Parallel Processing.
IEEE Trans. Parallel Distributed Syst., 2002

Tradeoffs Between Quality of Results and Resource Consumption in a Recognition System.
Proceedings of the 13th IEEE International Conference on Application-Specific Systems, 2002

Optical Network Reconfiguration for Signal Processing Applications.
Proceedings of the 13th IEEE International Conference on Application-Specific Systems, 2002

Design Tradeoffs for Embedded Network Processors.
Proceedings of the Trends in Network and Pervasive Computing, 2002

Evaluating the Performance of Photonic Interconnection Networks.
Proceedings of the Proceedings 35th Annual Simulation Symposium (ANSS-35 2002), 2002

2001
Locality-aware predictive scheduling of network processors.
Proceedings of the 2001 IEEE International Symposium on Performance Analysis of Systems and Software, 2001

Performance Comparison of Parallel Finite Element and Monte Carlo Methods in Optical Tomography.
Proceedings of the 30th International Workshops on Parallel Processing (ICPP 2001 Workshops), 2001

VLSI Photonic Ring Interconnect for Embedded Multicomputers: Architecture and Performance.
Proceedings of the ISCA 14th International Conference on Parallel and Distributed Computing Systems, 2001

2000
CommBench-a telecommunications benchmark for network processors.
Proceedings of the 2000 IEEE International Symposium on Performance Analysis of Systems and Software, 2000

1999
Fair Scheduling in an Optical Interconnection Network.
Proceedings of the MASCOTS 1999, 1999

1998
Performance Optimization of Self-Timed Circuits.
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998

1996
A General Matrix Iterative Model for Dynamic Load Balancing.
Parallel Comput., 1996

Checkpointing in Distributed Computing Systems.
J. Parallel Distributed Comput., 1996

Application Load Imbalance on Parallel Processors.
Proceedings of IPPS '96, 1996

1995
Genetic epidemiology, parallel algorithms, and workstation networks.
Proceedings of the 28th Annual Hawaii International Conference on System Sciences (HICSS-28), 1995

ARAS: asynchronous RISC architecture simulator.
Proceedings of the Second Working Conference on Asynchronous Design Methodologies, 1995

1994
Effect of Control Parameters on Dynamic Load Balancing.
Proceedings of the 8th International Symposium on Parallel Processing, 1994

Speculative Computation: Overcoming Communication Delays.
Proceedings of the 1994 International Conference on Parallel Processing, 1994

Performance comparison of asynchronous adders.
Proceedings of the International Symposium on Advanced Research in Asynchronous Circuits and Systems, 1994

1993
Performance Effects of Synchronization in Parallel Processors.
Proceedings of the Fifth IEEE Symposium on Parallel and Distributed Processing, 1993

Clocked and asynchronous instruction pipelines.
Proceedings of the 26th Annual International Symposium on Microarchitecture, 1993

Distributed Computing Systems and Checkpointing.
Proceedings of the Second International Symposium on High Performance Distributed Computing, 1993

1991
Parallel Simulated Annealing using Speculative Computation.
IEEE Trans. Parallel Distributed Syst., 1991

Analysis of Parallel Mixed-Mode Simulation Algorithms.
Proceedings of the Fifth International Parallel Processing Symposium, Proceedings, Anaheim, California, USA, April 30, 1991

1990
Hierarchical discrete-event simulation on hypercube architectures.
IEEE Micro, 1990

Task assignment by parallel simulated annealing.
Proceedings of the 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors, 1990

1989
Performance Analysis of a Parallel Logic Simulation Machine.
J. Parallel Distributed Comput., 1989

1988
Classical fault analysis for MOS VLSI circuits.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988

Simulated annealing on a multiprocessor.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988

Discrete-event simulation on hypercube architectures.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988

1987
Performance Analysis and Design of a Logic Simulation Machine.
Proceedings of the 14th Annual International Symposium on Computer Architecture. Pittsburgh, 1987

1986
Collecting Data About Logic Simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1986

Interconnection Networks: Physical Design and Performance Analysis.
J. Parallel Distributed Comput., 1986

On Designing Interconnection Networks for Multiprocessors.
Proceedings of the International Conference on Parallel Processing, 1986

Statistics on logic simulation.
Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, 1986

1983
Asynchronous and Clocked Control Structures for VSLI Based Interconnection Networks.
IEEE Trans. Computers, 1983

Timing Control of VLSI Based NlogN and Crossbar Networks.
Proceedings of the International Conference on Parallel Processing, 1983

1982
Pin Limitations and Partitioning of VLSI Interconnection Networks.
IEEE Trans. Computers, 1982

Asynchronous and clocked control structures for VLSI based interconnection networks.
Proceedings of the 9th International Symposium on Computer Architecture (ISCA 1982), 1982

1981
One-Dimensional Optimization on Multiprocessor Systems.
IEEE Trans. Computers, 1981

VLSI Performance Comparison of Banyan and Crossbar Communications Networks.
IEEE Trans. Computers, 1981

1979
Design Issues in the Development of a Modular Mutliprocessor Communications Network.
Proceedings of the 6th Annual Symposium on Computer Architecture, 1979

1978
Working Set and Page Fault Frequency Paging Algorithms: A Performance Comparison.
IEEE Trans. Computers, 1978

Parallel Solution of Ordinary Differential Equations.
IEEE Trans. Computers, 1978

Anomalies with Variable Partition Paging Algorithms.
Commun. ACM, 1978

1975
A Learning Identification Algorithm and Its Application to an Environmental System.
IEEE Trans. Syst. Man Cybern., 1975

Evaluation of Markov Program Models in Virtual Memory Systems.
Softw. Pract. Exp., 1975

1974
Monte Carlo Solution of Partial Differential Equations by Special Purpose Digital Computer.
IEEE Trans. Computers, 1974

An Analytic Response Time Model For Single-and Dual-Density Disk Systems.
IEEE Trans. Computers, 1974

Computation of Page Fault Probability from Program Transition Diagram.
Commun. ACM, 1974

1973
Simulation of a computer system with single and dual density discs.
Proceedings of the 1st Symposium on Simulation of Computer Systems, 1973

1969
A hybird computer programming system.
Proceedings of the American Federation of Information Processing Societies: Proceedings of the AFIPS '69 Fall Joint Computer Conference, 1969


  Loading...